IEEE Design&Test Vol. 38, Issue 2

  • Speaker:
    Special Issue on Open-Source EDA
  • Location:

    IEEE Explorer

  • Date: March/April
Design & Test

Magazine
Volume 38, Issue 2 (March/April)

Highlights
Special Issue on "Open-Source Electronic Design Automation (EDA) Tools"
Tutorial Paper by Brian Crafton, Samuel Spetalnick, Yan Fang, and Arijit Raychowdhury "Merged Logic and Memory Fabrics for Accelerating Machine Learning Workloads"
General Interest Paper by Seyed Mohammad Ali Zeinolabedin, Johannes Partzsch, and Christian Mayr "Real-time Hardware Implementation of ARM CoreSight Trace Decoder"

March/April 2021 Content


From the EIC
Open-Source Electronic Design Automation (EDA) Tools
  View full article (PDF).

Special Issue on Competing to Secure SoCs
Guest Editors’ Introduction: Open-Source Electronic Design Automation (EDA) Tools
  View full article (PDF).
ALIGN: A System for Automating Analog Layout
  This article describes a correct by construction approach to synthesize electrically and designs compliant design. By taking advantage of layout hierarchies they are able to apply this to an interesting class of circuits. read more
View full article (PDF).
MAGICAL: An Open- Source Fully Automated Analog IC Layout System from Netlist to GDSII
  This article presents MAGICAL, which is a fully automated analog IC layout system. MAGICAL takes a netlist and design rules as inputs, and it produces the final GDS layout in a fully automated fashion. read more.
View full article (PDF).
An Open-Source EDA Flow for Asynchronous Logic
  This article presents an open-source EDA flow for digital asynchronous circuits, capable of supporting many different families of asynchronous circuit families from logic synthesis all the way down to GDSII. read more.
View full article (PDF).
Real Silicon Using Open-Source EDA
  This article demonstrates that complete open-source tooling can be used to design industrial quality digital circuits. Using the OpenLane framework, based itself on the OpenROAD tool 2, the authors show a complete set of RISCV-based SoC. read more.
View full article (PDF).
Fault: Open-Source EDA’s Missing DFT Toolchain
  An open-source DFT flow is essential for any open-source solution. This article describes an approach to fill in this missing piece. read more
View full article (PDF).
PyH2: Using PyMTL3 to Create Productive and Open-Source Hardware Testing Methodologies
  This article proposes a new model testing and verification methodology, PyH2, using property-based random testing in Python. PyH2 leverages the whole Python ecosystem to build test benches and models. read more.
View full article (PDF).
OpenTimer v2: A Parallel Incremental Timing Analysis Engine
  This article introduces a high-quality open-source static timing analysis engine that is capable of parallel incremental timing and that provides an efficient API to facilitate development of complex EDA tools. read more.
View full article (PDF).
CATNAP-Sim: A Comprehensive Exploration and a Nonvolatile Processor Simulator for Energy Harvesting Systems
  This article introduces an architecture exploration tool to study and understand the tradeoffs of future processor systems using nonvolatile memory and help guide the design of the future. read more.
View full article (PDF).

General Interest Papers
Design of ₌׀₌ Shape Stub-Based Negative Group Delay Circuit
  This article presents a type of negative group delay (NGD) circuit based on transmission line resonators. To obtain the circuit’s S-parameters, this article uses a combination of ABCD and Z-parameters. Analytical design equations are presented, which are verified using circuit simulations. read more
View full article (PDF).
Design of Single-Bit Fault-Tolerant Reversible Circuits
  This article introduces redundant design approaches for reversible circuits that have the ability to detect and tolerate single-bit fault without the need of conventional voting scheme. Experiments preformed show that the proposed scheme reduces the gate cost on average with up to 28% as compared with tri-modular redundant circuits. read more
View full article (PDF).

Departments

Report on First and Second ACM/IEEE Workshop on Machine Learning for CAD (MLCAD)
  View full article (PDF).
Recap of the 39th Edition of the International Conference on Computer-Aided Design (ICCAD 2020)
  View full article (PDF).
The Last Byte: The Road to Open-Source EDA
  View full article (PDF).