Year 2025
Conferences | |
---|---|
Hassan Nassar, Jeferson Gonzalez-Gomez, Varun Manjunath, Lars Bauer, and
Jörg Henkel Through Fabric: A Cross-world Thermal Covert Channel on TEE-enhanced FPGA-MPSoC Systems in 30th Asia and South Pacific Design Automation Conference (ASPDAC ’25) , (Accepted) 2025. |
Year 2024
Journals | |
---|---|
Lars Bauer, Hassan Nassar, Nadir Khan, Jürgen Becker, and Jörg Henkel Machine-Learning-based Side-Channel Attack Detection for FPGA SoCs in IEEE Transactions on Circuits and Systems for Artificial Intelligence (TCAS-AI), DOI, PDF, Early Access 2024. | |
Sahar Rezagholi Lalani; Bardia Safaei; Amir Mahdi Hosseini Monazzah; Hossein Taghizadeh; Jörg Henkel; Alireza Ejlali QUERA: Q-Learning RPL Routing Mechanism to Establish Energy Efficient and Reliable Communications in Mobile IoT Networks in IEEE Transactions on Green Communications and Networking, DOI, PDF, Early Access 2024. | |
Konstantinos Balaskas, Andreas Karatzas, Christos Sad, Kostas Siozios, Iraklis Anagnostopoulos, Georgios Zervakis, Jörg Henkel Hardware-Aware DNN Compression via Diverse Pruning and Mixed-Precision Quantization in IEEE Transactions on Emerging Topics in Computing, DOI, PDF, Early Access 2024. | |
Jeferson Gonzalez-Gomez, Mohammed Bakr Sikal, Lars Bauer, Heba Khdr, Jörg
Henkel Balancing Security and Efficiency: System-Informed Mitigation of Power-Based Covert Channels in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD, Volume 43, Issue 11), DOI, PDF, Nov 2024. | |
Mohammed Bakr Sikal, Heba Khdr, Lokesh Siddhu, Jörg Henkel ML-Based Thermal and Cache Contention Alleviation on Clustered Manycores with 3-D HBM in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD, Volume 43, Issue 11), DOI, PDF, Nov 2024. | |
Hassan Nassar, Jonas Krautter, Lars Bauer, Dennis Gnad, Mehdi
Tahoori and Jörg Henkel Meta-Scanner: Detecting Fault Attacks via Scanning FPGA Designs Metadata in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD, Volume 43, Issue 11), DOI, PDF, Nov 2024. | |
Arya Motamedhashemi, Bardia Safaei, Amir Mahdi Hosseini Monazzah, Jörg Henkel, Alireza Ejlali FUSION: A Fuzzy-based Multi-Objective Task Management for Fog Networks in IEEE Access (Volume 12), DOI, PDF, Oct 2024. | |
Konstantinos Balaskas, Heba Khdr, Mohammed Bakr Sikal, Fabian Kreß, Kostas Siozios, Jürgen Becker, Jörg Henkel Heterogeneous Accelerator Design for Multi-DNN Workloads via Heuristic Optimization in Embedded Systems Letters (ESL), ESWEEK24 Special Edition, Sep 2024 (ACCEPTED), 2024. | |
Abolfazl Younesi; Mohsen Ansari; MohammadAmin Fazli; Alireza Ejlali; Muhammad Shafique; Jörg Henkel A Comprehensive Survey of Convolutions in Deep Learning: Applications, Challenges, and Future Trends in IEEE Access (Volume 12), DOI, PDF, Mar 2024. |
Conferences | |
---|---|
Jayeeta Chaudhuri, Hassan Nassar, Dennis R.E. Gnad, Jörg Henkel, Mehdi B. Tahoori, and Krishnendu Chakrabarty Hacking the Fabric: Targeting Partial Reconfiguration for Fault Injection in FPGA Fabrics in The 33rd IEEE Asian Test Symposium (ATS 2024), Ahmedabad, Gujarat, India, Dec 17-20 2024. | |
Jörg Henkel, Lokesh Siddhu, Hassan Nassar, Lars Bauer, Jian-Jia Chen, Christian Hakert, Tristan Seidl, Kuan-Hsun Chen, Xiaobo Sharon Hu, Mengyuan Li, Chia-Lin Yang, and Ming-Liang Wei (Invited Paper) Co-Designing NVM-based Systems for Machine Learning and In-memory Search Applications in International Conference on Computer-Aided Design (ICCAD), Oct 27-31 2024. | |
Hassan Nassar, Philipp Machauer, Lars Bauer, Dennis Gnad, Mehdi Tahoori and Jörg Henkel DoS-FPGA: Denial of Service on Cloud FPGAs via Coordinated Power Hammering in International Conference on Computer Aided Design (ICCAD), Oct 27-31 2024. | |
Lilas Alrahis, Hassan Nassar, Jonas Krautter, Dennis Gnad, Lars Bauer, Jörg Henkel and Mehdi Tahoori MaliGNNoma: GNN-Based Malicious Circuit Classifier for Secure Cloud FPGAs in 2024 IEEE International Symposium on Hardware Oriented Security and Trust (HOST), Washington D.C., USA, DOI, PDF, May 6-9 2024. | |
Jeferson Gonzalez-Gomez, Hassan Nassar, Lars Bauer, Jörg Henkel (Short Paper) LightFAt: Mitigating Control-flow Explosion via Lightweight PMU-based Control-Flow Attestation in 2024 IEEE International Symposium on Hardware Oriented Security and Trust (HOST), Washington D.C., USA, DOI, PDF, May 6-9 2024. | |
Hassan Nassar, Lars Bauer, Jörg Henkel HBMorphic: FHE Acceleration via HBM-Enabled Recursive Karatsuba Multiplier on FPGA in The 32nd IEEE International Symposium On Field-Programmable Custom Computing Machines (FCCM), Orlando, FL, USA, May 2024. | |
Heba Khdr, Mustafa Enes Batur, Kanran Zhou, Mohammed Bakr Sikal and Jörg Henkel Multi-Agent Reinforcement Learning for Thermally-Restricted Performance Optimization in Manycores in Design, Automation and Test in Europe Conference (DATE'24), PDF, Mar 25 - 27 2024. | |
Hassan Nassar, Philipp Machauer, Dennis Gnad, Lars Bauer, Mehdi Tahoori and Jörg Henkel Covert-Hammer: Coordinating Power-Hammering on Multi-tenant FPGAs via Covert Channels (Poster) in ACM International Symposium on Field-Programmable Gate Arrays (ISFPGA), Monterey CA, USA, DOI, March 3-5 2024. |
arXiv | |
---|---|
Kilian Pfeiffer, Mohamed Aboelenien Ahmed, Ramin Khalili, Jörg Henkel Efficient Federated Finetuning of Tiny Transformers with Resource-Constrained Devices in arxiv, DOI, PDF, 2024. | |
Jeferson Gonzalez-Gomez, Jose Alejandro Ibarra-Campos, Jesus Yamir Sandoval-Morales, Lars Bauer, Jörg Henkel MeMoir: A Software-Driven Covert Channel based on Memory Usage in arxiv, DOI, PDF, 2024. | |
Jeferson Gonzalez-Gomez, Hassan Nassar, Lars Bauer, Jorg Henkel LightFAt: Mitigating Control-flow Explosion via Lightweight PMU-based Control-flow Attestation in arxiv, DOI, PDF, 2024. | |
Abolfazl Younesi, Mohsen Ansari, MohammadAmin Fazli, Alireza Ejlali, Muhammad Shafique, Jörg Henkel A Comprehensive Survey of Convolutions in Deep Learning: Applications, Challenges, and Future Trends in arxiv, DOI, PDF, 2024. | |
Kilian Pfeiffer, Konstantinos Balaskas, Kostas Siozios, Jörg Henkel Energy-Aware Heterogeneous Federated Learning via Approximate Systolic DNN Accelerators in arxiv, DOI, PDF, 2024. | |
Dimitrios Danopoulos, Georgios Zervakis, Dimitrios Soudris, Jörg Henkel TransAxx: Efficient Transformers with Approximate Computing in arxiv, DOI, PDF, 2024. |
Year 2023
Journals | |
---|---|
Lokesh Siddhu; Hassan Nassar; Lars Bauer; Christian Hakert; Nils Hölscher; Jian-Jia Chen; Jörg Henkel
Swift-CNN: Leveraging PCM Memory’s Fast Write Mode to Accelerate CNNs in IEEE Embedded Systems Letters (Volume 14, Issue 4), DOI, PDF, Dec 2023. | |
Nikolay Penkov; Konstantinos Balaskas; Martin Rapp; Jörg Henkel Differentiable Slimming for Memory-Efficient Transformers in IEEE Embedded Systems Letters (Volume 15, Issue 4), DOI, PDF, Dec 2023. | |
Hassan Nassar, Lars Bauer, Jörg Henkel Effects of Runtime Reconfiguration on PUFs Implemented as FPGA-based Accelerators in Embedded Systems Letters (ESL), ESWEEK23 Special Edition (Volume 15, Issue 4), DOI, PDF, Dec 2023. | |
Martin Rapp, Heba Khdr, Nikita Krohmer, Jörg Henkel NPU-Accelerated Imitation Learningfor Thermal Optimizationof QoS-Constrained Heterogeneous Multi-Cores in ACM Transactions on Design Automation of Electronic Systems, DOI, PDF, Nov 2023. | |
Giorgos Armeniakos, Georgios Zervakis, Dimitrios Soudris, Mehdi B. Tahoori, and Jörg Henkel Model-to-Circuit Cross-Approximation For Printed Machine Learning Classifiers in Transactions on Computer-Aided Design of Integrated Circuits (Volume 42, Issue 11), DOI, PDF, Nov 2023. | |
Hassan Nassar, Lars Bauer, Jörg Henkel ANV-PUF: Machine-Learning-Resilient NVM-Based Arbiter PUF in Transactions on Embedded Computing Systems (TECS), ESWEEK23 Special Edition, DOI, PDF, Sep 2023. | |
Giorgios Armeniakos, Georgios Zervakis, Dimitrios Soudris, Mehdi Tahoori, Jörg Henkel Co-Design of Approximate Multilayer Perceptron for Ultra-Resource Constrained Printed Circuits in Transactions on Computers (Volume 72, Issue 9), DOI, PDF, Sep 2023. | |
Virinchi Roy Surabhi, Prashanth Krishnamurthy, Hussam Amrouch, Jörg Henkel, Ramesh Karri, Farshad Khorrami Golden-Free Robust Age Estimation to Triage Recycled ICs in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (Volume 42, Issue 9), DOI, PDF, Sep 2023. | |
Nils Hölscher, Christian Hakert, Hassan Nassar, Kuan-Hsun Chen, Lars Bauer, Jian-Jia Chen, and Jörg Henkel Memory Carousel: LLVM-Based Bitwise Wear-Leveling for Non-Volatile Main Memory in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD, Volume 42, Issue 8), DOI, PDF, Aug 2023. | |
Victor van Santen, Fu Florian Diep, Jörg Henkel, and Hussam Amrouch Massively Parallel Circuit Setup in GPU-SPICE in IEEE Transactions on Computers (TC, Volume 72, Issue 8), DOI, PDF, Aug 2023. | |
Kilian Pfeiffer, Martin Rapp, Ramin Khalili, Jörg Henkel
Federated Learning for Computationally-Constrained Heterogeneous Devices: A Survey in ACM Computing Surveys (Volume 55, Issue 14s), DOI, PDF, Jul 2023. | |
Roozbeh Siyadatzadeh, Fatemeh Mehrafrooz, Mohsen Ansari, Bardia Safaei, Muhammad Shafique, Jörg Henkel, Alireza Ejlali ReLIEF: A Reinforcement-Learning-Based Real-Time Task Assignment Strategy in Emerging Fault-Tolerant Fog Computing in IEEE Internet of Things Journal ( Volume 10, Issue 12), DOI, PDF, Jun 2023. | |
Kilian Pfeiffer, Martin Rapp, Ramin Khalili, Jörg Henkel CoCoFL: Communication- and Computation-Aware Federated Learning via Partial NN Freezing and Quantization in Transactions on Machine Learning Research (TMLR), DOI, PDF, Jun 2023. | |
Roozbeh Siyadatzadeh, Fatemeh Mehrafrooz, Mohsen Ansari, Bardia Safaei, Muhammad Shafique, Joerg Henkel, and Alireza Ejlali ReLIEF: A Reinforcement Learning-Based Real-Time Task Assignment Strategy in Emerging Fault-Tolerant Fog Computing in IEEE Internet of Things Journal (Volume 10, Issue 12), DOI, PDF, June 2023. | |
Dimitrios Danopoulos, Georgios Zervakis, Kostas Siozios, Dimitrios Soudris and Jörg Henkel AdaPT: Fast Emulation of Approximate DNN Accelerators in PyTorch in Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD Volume 42, Issue 6), DOI, PDF, Jun 2023. Sourcecode on github | |
Jeferson Gonzalez-Gomez, Lars Bauer, Jörg Henkel Cache-based Side-Channel Attack Mitigation for Many-core Distributed Systems via Dynamic Task Migration in IEEE Transactions on Information Forensics and Security, DOI, PDF, Apr 2023. | |
Giorgos Armeniakos, Georgios Zervakis, Dimitrios Soudris, Jörg Henkel Hardware Approximate Techniques for Deep Neural Network Accelerators: A Survey in ACM Computing Surveys (CSUR, Volume 55, Issue 4), DOI, PDF, Apr 2023. | |
Ourania Spantidi, Georgios Zervakis, Sami Alsalamin, Isai Roman-Ballesteros, Jörg Henkel, Hussam Amrouch, and Iraklis Anagnostopoulos Targeting DNN Inference via Efficient Utilization of Heterogeneous Precision DNN Accelerators in IEEE Transactions on Emerging Topics in Computing (Volume 11, Issue 1), DOI, PDF, Mar 2023. |
Conferences | |
---|---|
Hassan Nassar, Rafik Youssef, Lars Bauer, and Jörg Henkel Supporting Dynamic Control-Flow Execution for Runtime Reconfigurable Processors in IEEE International Conference on Microelectronics (ICM), Abu Dhabi, UAE, DOI, PDF, Dec 17-20 2023. | |
Kilian Pfeiffer, Ramin Khalili, Jörg Henkel Aggregating Capacity in FL through Successive Layer Training for Computationally-Constrained Devices in 37th Advances in Neural Information Processing Systems (NeurIPS'23), New Orleans, USA, DOI, PDF, Dec 10 - 17 2023. | |
Jörg Henkel, Lokesh Siddhu, Lars Bauer, Jürgen Teich, Stefan Wildermann, Mehdi Tahoori, Mahta Mayahinia, Jeronimo Castrillon, Asif Ali Khan, Hamid Farzaneh, João Paulo C. de Lima, Jian-Jia Chen, Christian Hakert, Kuan-Hsun Chen, Chia-Lin Yang, Hsiang-Yun Cheng Non-Volatile Memories: Challenges and Opportunities for Embedded System Architectures with Focus on Machine Learning Applications in International Conference on Compilers, Architectures, and Synthesis for Embedded Systems (CASES), PDF, Sept 17-22 2023. | |
Hassan Nassar, Simon Pankner, Lars Bauer, Jörg Henkel Late Breaking Results: Configurable Ring Oscillators as a Side-Channel Countermeasure in 60th Design Automation Conference (DAC), San Francisco, DOI, PDF, Jul 9-13 2023. | |
Jeferson Gonzalez-Gomez, Mohammed Bakr Sikal, Heba Khdr, Lars Bauer, Jörg Henkel Smart Detection of Obfuscated Thermal Covert Channel Attacks in Many-core Processors in 60th Design Automation Conference (DAC), San Francisco, DOI, PDF, Jul 9-13 2023. | |
Mohammed Bakr Sikal, Heba Khdr, Martin Rapp, Jörg Henkel Machine Learning-based Thermally-Safe Cache Contention Mitigation in Clustered Manycores in 60th Design Automation Conference (DAC), San Francisco, DOI, PDF, Jul 9-13 2023. | |
Mohsen Ansari, Sepideh Safari, Amir Yeganeh-Khaksar, Roozbeh Syiadatzadeh, Pourya Gohari-Nazari, Heba Khdr, Muhammad Shafique, Jörg Henkel, Alireza Ejlali ATLAS: Aging-Aware Task Replication for Multicore Safety-Critical Systems in 29th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2023), DOI, PDF, May 9-12 2023. | |
Marcel Mettler, Martin Rapp, Heba Khdr, Daniel Müller-Gritschneder, Jörg Henkel, Ulf Schlichtmann Extended Abstract: Monitoring-based Thermal Management for Mixed-Criticality Systems in 26th Design, Automation and Test in Europe Conference (DATE'23), Antwerp, Belgium, Apr 17-19 2023. | |
Jeferson Gonzalez-Gomez, Kevin Cordero-Zuñiga, Lars Bauer, Jörg Henkel The First Concept and Real-world Deployment of a GPU-based Thermal Covert Channel: Attack and Countermeasures in 26th Design, Automation and Test in Europe Conference (DATE'23), Antwerp, Belgium, DOI, PDF, Apr 17-19 2023. | |
Argyris Kokkinis, Georgios Zervakis, Kostas Siozios, Mehdi B. Tahoori, Jörg
Henkel Hardware-Aware Automated Neural Minimization for Printed Multilayer Perceptrons in 26th Design, Automation and Test in Europe Conference (DATE'23), Antwerp, Belgium, Apr 17-19 2023. |
arXiv | |
---|---|
Argyris Kokkinis, Georgios Zervakis, Kostas Siozios, Mehdi B. Tahoori, Jörg Henkel Hardware-Aware Automated Neural Minimization for Printed Multilayer Perceptrons in arXiv, DOI, PDF, Jan 2023. |
Workshop | |
---|---|
Marcel Mettler, Martin Rapp, Heba Khdr, Daniel Mueller-Gritschneder, Jörg Henkel, Ulf Schlichtmann MonTM: Monitoring-Based Thermal Management for Mixed-Criticality Systems in 14th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 12th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms (PARMA-DITAM 2023), DOI, PDF, 2023. |
Year 2022
Journals | |
---|---|
Mohsen Ansari, Sepideh Safari, Heba Khdr, Pourya Gohari-Nazari, Jörg Henkel, Alireza Ejlali, Shaahin Hessabi Power-Aware Checkpointing for Multicore Embedded Systems in IEEE Transactions on Parallel and Distributed Systems (Volume 33, Issue 12), DOI, PDF, Dec 2022. | |
Hassan Nassar, Lars Bauer, and Jörg Henkel CaPUF: Cascaded PUF Structure for Machine Learning Resiliency in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD, Volume 41, Issue 11), DOI, PDF, Nov 2022. | |
Ourania Spantidi, Georgios Zervakis, Iraklis Anagnostopoulos, and Jörg
Henkel Energy-efficient DNN Inference on Approximate Accelerators Through Formal Property Exploration in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD, Volume 41, Issue 11), DOI, PDF, Nov 2022. | |
Virinchi Roy Surabhi, Prashanth Krishnamurthy, Hussam Amrouch, Jörg Henkel, Ramesh Karri, Farshad Khorrami Trojan Detection in Embedded Systems With FinFET Technology in IEEE Transactions on Computers (Volume 71, Issue 11), DOI, PDF, Nov 2022. | |
Mohsen Ansari, Sepideh Safari, Sina Yari-Karin, Pourya Gohari-Nazari, Heba Khdr, Muhammad Shafique, Jörg Henkel, Alireza Ejlali Thermal-Aware Standby-Sparing Technique on Heterogeneous Real-Time Embedded Systems in IEEE Transactions on Emerging Topics in Computing (Volume 10, Issue 4), DOI, PDF, Oct - Dec 2022. | |
Konstantinos Balaskas, Florian Klemme, Georgios Zervakis, Kostas Siozios, Hussam Amrouch, Jörg Henkel Variability-Aware Approximate Circuit Synthesis via Genetic Optimization in IEEE Transactions on Circuits and Systems I: Regular Papers (Volume 69, Issue 10), DOI, PDF, Oct 2022. | |
Georgios Zervakis, Iraklis Anagnostopoulos, Sami Alsalamin, Ourania Spantidi, Isai Roman-Ballesteros, Jörg Henkel, Hussam Amrouch Thermal-Aware Design for Approximate DNN Accelerators in IEEE Transactions on Computers (Volume 71, Issue 10), DOI, PDF, Oct 2022. | |
Martin Rapp, Hussam Amrouch, Yibo Lin, Bei Yu, David Z. Pan, Marilyn Wolf, Jörg Henkel MLCAD: A Survey of Research in Machine Learning for CAD in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD, Volume 41, Issue 10), DOI, PDF, 2022. | |
Bardia Safaei, Hossein Taghizade, Amir Mahdi Hosseini Monazzah, Kimia Talaei, Parham Sadeghi, Aliasghar Mohammadsalehi, Jörg Henkel, and Alireza Ejlali Introduction and Evaluation of Attachability for Mobile IoT Routing Protocols with Markov Chain Analysis in IEEE Transactions on Network and Service Management (Volume 19, Issue 3), DOI, PDF, Sep 2022. | |
Marcel Mettler, Martin Rapp, Heba Khdr, Daniel Mueller-Gritschneder, Jörg Henkel An FPGA-based Approach to Evaluate Thermal and Resource Management Strategies of Many-Core Processors in ACM Transactions on Architecture and Code Optimization (Volume 19, Issue 3), DOI, PDF, Sep 2022. | |
Mikail Yayla, Sebastian Buschjager, Aniket Gupta, Jian-Jia Chen, Jörg Henkel, Katharina Morik, Kuan-Hsun Chen, Hussam Amrouch FeFET-based Binarized Neural Networks Under Temperature-dependent Bit Errors in IEEE Transactions on Computers (Volume 71, Issue 7), DOI, PDF, Jul 2022. | |
Sepideh Safari, Heba Khdr, Pourya Gohari-Nazari, Mohsen Ansari, Shaahin Hessabi, Jörg Henkel TherMa-MiCs: Thermal-Aware Scheduling for Fault-Tolerant Mixed-Criticality Systems in IEEE Transactions on Parallel and Distributed Systems (Volume 33, Issue 7), DOI, PDF, Jul 2022. | |
Lokesh Siddhu, Rajesh Kedia, Shailja Pandey, Martin Rapp, Anuj Pathania, Jörg Henkel, Preeti Ranjan Panda CoMeT: An Integrated Interval Thermal Simulation Toolchain for 2D, 2.5 D, and 3D Processor-Memory Systems in ACM Transactions on Architecture and Code Optimization (Volume 19, Issue 3), DOI, PDF, Apr 2022. https://github.com/marg-tools/CoMeT/ | |
Hussam Amrouch, Paul Genßler, Victor M. van Santen, Jörg Henkel On the Reliability of FeFET On-Chip Memory in IEEE Transactions on Computers (Volume 71, Issue 4), DOI, PDF, Apr 2022. | |
S. Salamin, G. Zervakis, F. Klemme, H. Kattan, Y. Chauhan, J. Henkel, and H. Amrouch Impact of NCFET Technology on Eliminating the Cooling Cost and Boosting the Efficiency of Google TPU in IEEE Transactions on Computers (Volume 71, Issue 4), DOI, PDF, Apr 2022. | |
Nafis Irtija, Iraklis Anagnostopoulos, Georgios Zervakis, Eirini Eleni Tsiropoulou, Hussam Amrouch, Jörg Henkel Energy Efficient Edge Computing Enabled by Satisfaction Games and Approximate Computing in IEEE Transactions on Green Communications and Networking (Volume 6, Issue 1), DOI, PDF, Mar 2022. | |
Divya Praneetha Ravipati, Rajesh Kedia, Victor M. van Santen, Jörg Henkel, Preeti Ranjan Panda, Hussam Amrouch FN-CACTI: Advanced CACTI for FinFET and NC-FinFET Technologies in IEEE Transactions on Very Large Scale Integration (VLSI) Systems (Volume 30, Issue 3), DOI, PDF, Mar 2022. | |
Guilherme Paim, Hussam Amrouch, Leandro Mateus Giacominni Rocha, Brunno Alves Abreu, Sergio Bampi, Jörg Henkel A Framework for Crossing Temperature-Induced Timing Errors Underlying Hardware Accelerators to the Algorithm and Application Layers in IEEE Transactions on Computers (Volume 71, Issue 2), DOI, PDF, Feb 2022. | |
Sepideh Safari, Mohsen Ansari, Heba Khdr, Pourya Gohari-Nazari, Sina Yari-Karin, Amir Yeganeh-Khaksar, Shaahin Hessabi, Alireza Ejlali, Jörg Henkel A Survey of Fault-Tolerance Techniques for Embedded Systems From the Perspective of Power, Energy, and Thermal Issues in IEEE Access (Volume 10), DOI, PDF, Jan 2022. | |
Aliasghar Mohammadsalehi, Bardia Safaei, Amir Mahdi Hosseini
Monazzah, Lars Bauer, Jörg Henkel, Alireza Ejlali ARMOR: A Reliable and Mobility-aware RPL for Mobile Internet of Things Infrastructures in Internet of Things Journal (Volume 9, Issue 2), DOI, PDF, Jan 2022. | |
Guilherme Paim, Hussam Amrouch, Eduardo Antônio Ceśar da Costa, Sergio Bampi, Jörg Henkel Bridging the Gap Between Voltage Over-Scaling and Joint Hardware Accelerator-Algorithm Closed-Loop in IEEE Transactions on Circuits and Systems for Video Technology (Volume 32, Issue 1), DOI, PDF, Jan 2022. | |
Christian Hakert, Kuan-Hsun Chen, Horst Schirmeier, Lars Bauer, Paul R. Genssler,
Georg von der Brüggen, Hussam Amrouch,
Jörg Henkel, Jian-Jia Chen
Software-Managed Read and Write Wear-Leveling for Non-Volatile Main Memory in ACM Transactions on Embedded Computing Systems (Volume 21, Issue 1), DOI, PDF, Jan 2022. | |
Tanfer Alan, Jörg Henkel Probability-Driven Evaluation of Lower-Part Approximation Adders in IEEE Transactions on Circuits and Systems II: Express Briefs (Volume 69, Issue 1), DOI, PDF, Jan 2022. Open Source Contribution | |
Naman Patel, Prashanth Krishnamurthy, Hussam Amrouch, Jörg Henkel, MichaelL Shamouilian, Ramesh Karri, and Farshad Khorrami Towards a New Thermal Monitoring Based Framework for Embedded CPS Device Security in IEEE Transactions on Dependable and Secure Computing (TDSC) (Volume 19, Issue 1), DOI, PDF, Jan-Feb 2022. |
Conferences | |
---|---|
Jörg Henkel, Hai Li, Anand Raghunathan, Mehdi B. Tahoori, Swagath Venkataramani, Xiaoxuan Yang, Georgios Zervakis Approximate Computing and the Efficient Machine Learning Expedition (invited) in IEEE/ACM International Conference on Computer-Aided Design (ICCAD '22), Oct 30 - Nov 03 2022. | |
Konstantinos Balaskas, Georgios Zervakis, Kostas Siozios, Mehdi B. Tahoori, Jörg Henkel Approximate Decision Trees For Machine Learning Classification on Tiny Printed Circuits in 23rd International Symposium on Quality Electronic Design (ISQED '22), DOI, PDF, 6-8 April 2022. | |
Martin Rapp, Ramin Khalili, Kilian Pfeiffer, Jörg Henkel DISTREAL: Distributed Resource-Aware Learning in Heterogeneous Systems in Thirty-Sixth AAAI Conference on Artificial Intelligence (AAAI'22), Vancouver, Canada, DOI, PDF, Feb 22 - Mar 01 2022. | |
Giorgos Armeniakos, Georgios Zervakis, Dimitrios Soudris, Mehdi B. Tahoori, Jörg Henkel Cross-Layer Approximation For Printed Machine Learning Circuits in IEEE/ACM 25th Design, Automation and Test in Europe Conference (DATE'22), Antwerp, Belgium, DOI, PDF, Mar 14-23 2022. Best Paper Nomination | |
Martin Rapp, Nikita Krohmer, Heba Khdr, Jörg Henkel NPU-Accelerated Imitation Learning for Thermal- and QoS-Aware Optimization of Heterogeneous Multi-Cores (IP) in IEEE/ACM 25th Design, Automation and Test in Europe Conference (DATE'22), Antwerp, Belgium, DOI, PDF, Mar 14-23 2022. | |
Mohammed Bakr Sikal, Heba Khdr, Martin Rapp, Jörg Henkel Thermal- and Cache-Aware Resource Management based on ML-Driven Cache Contention Prediction in IEEE/ACM 25th Design, Automation and Test in Europe Conference (DATE'22), Antwerp, Belgium, DOI, PDF, Mar 14-23 2022. |
Editorials | |
---|---|
Jörg Henkel Designing Autonomous Systems in IEEE Design & Test (Volume 39, Issue 1), DOI, PDF, Feb 2022. |
arXiv | |
---|---|
Volker Wenzel, Lars Bauer, Wolfgang Schröder-Preikschat, Jörg Henkel Agent-based Constraint Solving for Resource Allocation in Manycore Systems in arXiv, DOI, PDF, Apr 2022. | |
Dimitrios Danopoulos, Georgios Zervakis, Kostas Siozios, Dimitrios Soudris and Jörg Henkel AdaPT: Fast Emulation of Approximate DNN Accelerators in PyTorch in arXiv, DOI, PDF, Mar 2022. |
Books / Book Chapter | |
---|---|
Georgios Zervakis, Iraklis Anagnostopoulos, Hussam Amrouch, and Jörg
Henkel Enabling Efficient Inference of Convolutional Neural Networks via Approximation In: Liu, W., Lombardi, F. (eds) Approximate Computing. Springer, Cham., DOI, PDF, 2022. ISBN: 978-3-030-98346-8 | |
Nidhi Anantharajaiah, Tamim Asfour, Michael Bader, Lars Bauer, Jürgen Becker, Simon Bischof, Marcel Brand, Hans-Joachim Bungartz, Christian Eichler, Khalil Esper, Joachim Falk, Nael Fasfous, Felix Freiling, Andreas Fried, Michael Gerndt, Michael Glaß, Jeferson Gonzalez, Frank Hannig, Christian Heidorn, Jörg Henkel, Andreas Herkersdorf, Benedict Herzog, Jophin John, Timo Hönig, Felix Hundhausen, Heba Khdr, Tobias Langer, Oliver Lenke, Fabian Lesniak, Alexander Lindermayr, Alexandra Listl, Sebastian Maier, Nicole Megow, Marcel Mettler, Daniel Müller-Gritschneder, Hassan Nassar, Fabian Paus, Alexander Pöppl, Behnaz Pourmohseni, Jonas Rabenstein, Phillip Raffeck, Martin Rapp, Santiago Narváez Rivas, Mark Sagi, Franziska Schirrmacher, Ulf Schlichtmann, Florian Schmaus, Wolfgang Schröder-Preikschat, Tobias Schwarzer, Mohammed Bakr Sikal, Bertrand Simon, Gregor Snelting, Jan Spieck, Akshay Srivatsa, Walter Stechele, Jürgen Teich, Furkan Turan, Isaías A. Comprés Ureña, Ingrid Verbauwhede, Dominik Walter, Thomas Wild, Stefan Wildermann, Mario Wille, Michael Witterauf, Li Zhang Invasive Computing in FAU University Press (Editors: Jürgen Teich, Jörg Henkel, Andreas Herkersdorf), DOI, PDF, Aug 2022. | |
Lars Bauer, Jörg Henkel, Timo Hönig, Wolfgang Schröder-Preikschat,
Christian Eichler, Jeferson Gonzalez, Benedict Herzog,Tobias Langer,
Sebastian Maier, Jonas Rabenstein, Phillip Raffeck, Florian Schmaus Invasive Run-Time Support System (iRTSS) Chapter in Invasive Computing (Editors: Jürgen Teich, Jörg Henkel, Andreas Herkersdorf) FAU University Press, DOI, PDF, Aug 2022. | |
Lars Bauer, Jörg Henkel IoT-Technologien für eingebettetes Rechnen – Eine Übersicht Chapter in Handbuch Digitalisierung, Vahlen, 2022. ISBN: 978-3-8006-6562-4 |
Workshop | |
---|---|
Jorge Castro-Godínez, Tanfer Alan and Jörg Henkel ApproxiMath: Approximating Math Functions with Polynomial Series to Improve Performance on Accurate Hardware In 7th Workshop on Approximate Computing (AxC22),(Co-located with DAC'22), (accepted to appear), Jul 2022. |
PhD Thesis | |
---|---|
Martin Rapp Machine Learning for Resource-Constrained Computing Systems Dissertationsschrift der Fakultät für Informatik des Karlsruher Instituts für Technologie (KIT), DOI, PDF, May 31 2022. |
Year 2021
Journals | |
---|---|
Konstantinos Balaskas, Georgios Zervakis, Hussam Amrouch, Jörg Henkel, Kostas Siozios Automated Design Approximation to Overcome Circuit Aging in IEEE Transactions on Circuits and Systems I: Regular Papers (Volume 68, Issue 11), DOI, PDF, Nov 2021. | |
Sami Salamin, Georgios Zervakis, Yogesh Singh Chauhan, Jörg Henkel, Hussam Amrouch PROTON: Post-Synthesis Ferroelectric Thickness Optimization for NCFET Circuits in IEEE Transactions on Circuits and Systems I: Regular Papers (Volume 68, Issue 10), DOI, PDF, Oct 2021. | |
Martin Rapp, Anuj Pathania, Tulika Mitra, and Jörg Henkel Neural Network-based Performance Prediction for Task Migration on S-NUCA Many-Cores in IEEE Transactions on Computers (Volume 70, Issue 10), DOI, PDF, Oct 2021. | |
Sami Salamin, Martin Rapp, Anuj Pathania, Arka Maity, Jörg Henkel, Tulika Mitra, and Hussam Amrouch Power-Efficient Heterogeneous Many-Core Design with NCFET Technology in IEEE Transactions on Computers (Volume 70, Issue 9), DOI, PDF, Sep 2021. | |
Jorge Castro-Godínez, Humberto Barrantes-García, Muhammad Shafique, Jörg Henkel AxLS: A Framework for Approximate Logic Synthesis based on Netlist Transformations in IEEE Transactions on Circuits and Systems II: Express Briefs (Volume 68, Issue 8), DOI, PDF, Aug 2021. | |
Hammam Kattan, Sung Woo Chung, Jörg Henkel, Hussam
Amrouch On-demand Mobile CPU Cooling with Thin-Film Thermoelectric Array in IEEE Micro (Volume 41, Issue 4), DOI, PDF, Jul-Aug 2021. | |
Deepak M. Mathew, Hammam Kattan, Christian Weis, Jörg Henkel, Norbert Wehn, Hussam Amrouch Longevity of Commodity DRAMs in Harsh Environments Through Thermoelectric Cooling in IEEE Access (Volume 9), DOI, PDF, May 2021. | |
Tanfer Alan, Andreas Gerstlauer, Jörg Henkel Cross-Layer Approximate Hardware Synthesis for Runtime Configurable Accuracy in IEEE Transactions on Very Large Scale Integration (VLSI) Systems (Volume 29, Issue 6), DOI, PDF, Apr 2021. | |
Sheriff Sadiqbatcha, Jinwei Zhang, Hengyang Zhao, Hussam Amrouch, Jörg Henkel, Sheldon X-D Tan Post-Silicon Heat-Source Identification and Machine-Learning-Based Thermal Modeling Using Infrared Thermal Imaging in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (Volume 40, Issue 4), DOI, PDF, Apr 2021. | |
Georgios Zervakis, Iraklis Anagnostopoulos, Sami Salamin, Yogesh S. Chauhan, Jörg Henkel, Hussam Amrouch Impact of NCFET on Neural Network Accelerators in IEEE Access (Volume 9), DOI, PDF, Mar 2021. | |
Guilherme Paim, Georgios Zervakis, Girish Pahwa, Yogesh S. Chauhan,
Eduardo A. C. da Costa, Sergio Bampi, Jörg Henkel, and Hussam Amrouch On the Resiliency of NCFET Circuits against Voltage Over-Scaling in IEEE Transactions on Circuits and Systems I: Regular Papers (Volume 68, Issue 4), DOI, PDF, Mar 2021. | |
Sami Salamin; Victor M. Van Santen; Martin Rapp; Jörg Henkel; Hussam Amrouch Minimizing Excess Timing Guard Banding Under Transistor Self-Heating Through Biasing at Zero-Temperature Coefficient in IEEE Access (Volume 9), DOI, PDF, Feb 2021. | |
Nadir Khan, Jorge Castro-Godínez, Shixiang Xue, Jörg Henkel, and Jürgen Becker Automatic Floorplanning and Standalone Generation of Bitstream-Level IP Cores in IEEE Transactions on Very Large Scale Integration Systems (TVLSI) (Volume 29, Issue 1), DOI, PDF, Jan 2021. |
Conferences | |
---|---|
G. Zervakis, O. Spantidi, I. Anagnostopoulos, H. Amrouch, and J. Henkel Control Variate Approximation for DNN Accelerators in 58th Design Automation Conference (DAC), San Francisco, DOI, PDF, Dec 5-9 2021. | |
Martin Rapp, Mohammed Bakr Sikal, Heba Khdr, Jörg Henkel SmartBoost: Lightweight ML-Driven Boosting for Thermally-Constrained Many-Core Processors in 58th Design Automation Conference (DAC), San Francisco, DOI, PDF, Dec 5-9 2021. | |
Ourania Spantidi, Georgios Zervakis, Iraklis Anagnostopoulos, Hussam
Amrouch, and Jörg Henkel Positive/Negative Approximate Multipliers for DNN Accelerators in IEEE/ACM 40th International Conference On Computer Aided Design (ICCAD), Virtual Conference, DOI, PDF, Nov 1-5 2021. | |
Hassan Nassar, Hanna AlZughbi, Dennis Gnad, Lars Bauer, Mehdi Tahoori and Jörg Henkel LoopBreaker: Disabling Interconnects to Mitigate Voltage-Based Attacks in Multi-Tenant FPGAs in IEEE/ACM 40th International Conference On Computer Aided Design (ICCAD), Virtual Conference, DOI, PDF, Nov 1-5 2021. | |
Victor M. van Santen, Simon Thomann, Yogesh S. Chauchan, Jörg Henkel, Hussam Amrouch Reliability-Driven Voltage Optimization for NCFET-based SRAM Memory Banks in IEEE 39th VLSI Test Symposium (VTS), DOI, PDF, Apr 25-28 2021. | |
Maryam Shirbeigi, Bardia Safaei, Aliasghar Mohammadsalehi, Amir Mahdi Hosseini Monazzah, Jörg Henkel, Alireza Ejlali A Cluster-Based and Drop-aware Extension of RPL to Provide Reliability in IoT Applications in 15th IEEE Systems Conference (SysCon'21), Virtual Conference, Vancouver, BC, Canada, DOI, PDF, Apr 15 - May 15 2021. | |
Jeferson González-Gómez, Steven Ávila-Ardón, Jonathan Rojas-González, Andres Stephen-Cantillano, Jorge Castro-Godínez, Carlos Salazar-García, Muhammad Shafique, Jörg Henkel TailoredCore: Generating Application-Specific RISC-V-based Cores in IEEE 12th Latin America Symposium on Circuits and System (LASCAS), DOI, PDF, Feb 21-24 2021. | |
Mikail Yayla, Kuan-Hsun Chen, Georgios Zervakis, Jörg Henkel, Jian-Jia
Chen, and Hussam Amrouch FeFET and NCFET for Future Neural Networks: Visions and Opportunities (special session) in 24th Design, Automation and Test in Europe Conference (DATE'21), Virtual Conference, DOI, PDF, Feb 1-5 2021. | |
Hassan Nassar, Lars Bauer and Jörg Henkel TiVaPRoMi: Time-Varying Probabilistic Row-Hammer Mitigation in IEEE/ACM 24th Design, Automation and Test in Europe Conference (DATE'21), Virtual Conference, DOI, PDF, Feb 1-5 2021. | |
Sami Salamin, Georgios Zervakis, Ourania Spantidi, Iraklis
Anagnostopoulos, Jörg Henkel and Hussam Amrouch Reliability-Aware Quantization for Anti-Aging NPUs in IEEE/ACM 24th Design, Automation and Test in Europe Conference (DATE'21), Virtual Conference, DOI, PDF, Feb 1-5 2021. | |
Mark Sagi, Martin Rapp, Heba Khdr, Yizhe Zhang, Nael Fasfous, Nguyen Anh
Vu Doan, Thomas Wild, Jörg Henkel and Andreas Herkersdorf Long Short-Term Memory Neural Network-based Power Forecasting of Multi-Core Processors in IEEE/ACM 24th Design, Automation and Test in Europe Conference (DATE'21), Virtual Conference, DOI, PDF, Feb 1-5 2021. | |
Georgios Zervakis, Hassaan Saadat, Hussam Amrouch, Andreas Gerstlauer,
Sri Parameswaran, and Jörg Henkel Approximate Computing for ML: State-of-the-art, Challenges and Visions (special session) in 26th Asia and South Pacific Design Automation Conference (ASPDAC ’21), DOI, PDF, Jan 18-21 2021. |
Editorials | |
---|---|
Jörg Henkel Stochastic Computing for Neuromorphic Applications in IEEE Design & Test (Volume 38, Issue 6), DOI, PDF, Dec 2021. | |
Jörg Henkel Cross-Layer Design of Cyber–Physical Systems in IEEE Design & Test (Volume 38, Issue 5), DOI, PDF, Oct 2021. | |
Jörg Henkel Machine Intelligence at the Edge in IEEE Design & Test (Volume 38, Issue 4), DOI, PDF, Jul 2021. | |
Jörg Henkel Top Picks in Hardware and Embedded Security in IEEE Design & Test (Volume 38, Issue 3), DOI, PDF, Jun 2021. | |
Jörg Henkel Open-Source Electronic Design Automation (EDA) Tools in IEEE Design & Test (Volume 38, Issue 2), DOI, PDF, Apr 2021. | |
Jörg Henkel From the EIC: Hack@DAC: Security Competition at the Design Automation Conference in IEEE Design & Test (Volume 38, Issue 1), DOI, PDF, Feb 2021. |
Books / Book Chapter | |
---|---|
Hussam Amrouch, Martin Rapp, Sami Salamin, Jörg Henkel Impact of Negative Capacitance Field-Effect Transistor (NCFET) on Many-Core Systems Chapter in A Journey of Embedded and Cyber-Physical Systems - Essays Dedicated to Peter Marwedel on the Occasion of His 70th Birthday, Springer International Publishing, DOI, PDF, 2021. |
Workshop | |
---|---|
Veera Venkata Ram Murali Krishna Rao Muvva, Martin Rapp, Jörg Henkel, Hussam Amrouch, Marilyn Wolf On the Effectiveness of Quantization and Pruning on the Performance of FPGAs-based NN Temperature Estimation in 2021 ACM/IEEE 3rd Workshop on Machine Learning for CAD (MLCAD), DOI, PDF, 30 Aug - 03 Sep 2021. |
WiP | |
---|---|
Tanfer Alan, Jorge Castro-Godínez and Jörg Henkel Multiple Approximate Instances in Neural Processing Units for Energy-Efficient Circuit Synthesis (WiP) in 2021 International Conference on Compilers, Architectures and Synthesis For Embedded Systems (CASES), DOI, PDF, Sep 2021. |
PhD Thesis | |
---|---|
Tanfer Alan Cross-Layer Automated Hardware Design for Accuracy-Configurable Approximate Computing Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2021. |
Year 2020
Journals | |
---|---|
Behnaz Pourmohseni, Michael Glaß, Jörg Henkel, Heba Khdr, Martin Rapp, Valentina Richthammer, Tobias Schwarzer, Fedor Smirnov, Jan Spieck, Jürgen Teich, Andreas Weichslgartner, Stefan Wildermann Hybrid Application Mapping for Composable Many-Core Systems: Overview and Future Perspective in Journal of Low Power Electronics and Applications (Volume 10, Issue 4), DOI, PDF, Nov 2020. | |
Mark Sagi, Nguyen Anh Vu Doan, Martin Rapp, Thomas Wild, Jörg
Henkel and Andreas Herkersdorf A Lightweight Nonlinear Methodology to Accurately Model Multi-Core Processor Power in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), ESWEEK Special Issue (Volume 39, Issue 11), DOI, PDF, Nov 2020. | |
Hussam Amrouch, Georgios Zervakis, Sami Salamin, Hammam Kattan, Iraklis Anagnostopoulos and Jörg Henkel NPU Thermal Management in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), ESWEEK Special Issue (Volume 39, Issue 11), DOI, PDF, Nov 2020. | |
Sami Salamin, Martin Rapp, Jörg Henkel, Andreas Gerstlauer and Hussam Amrouch Dynamic Power and Energy Management for NCFET-based Processors in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), ESWEEK Special Issue (Volume 39, Issue 11), DOI, PDF, Nov 2020. | |
Virinchi Roy Surabhi, Prashanth Krishnamurthy, Hussam Amrouch, Jörg Henkel, Ramesh Karri and Farshad Khorrami Exposing Hardware Trojans in Embedded Platforms via Short-Term Aging in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), ESWEEK Special Issue (Volume 39, Issue 11), DOI, PDF, Nov 2020. | |
Johann Knechtel, Satwik Patnaik, Mohammed Nabeel, Mohammed Ashraf, Yogesh S. Chauhan, Jörg Henkel, Ozgur Sinanoglu, and Hussam Amrouch Power Side-Channel Attacks in Negative Capacitance Transistor (NCFET) n IEEE Micro Magazine (Volume 40, Issue 6), DOI, PDF, Nov 2020. | |
Victor M. van Santen, Hussam Amrouch, Poja Sharma and Jörg Henkel On the Workload Dependence of Self-Heating in FinFET Circuits in IEEE Transactions on Circuits and Systems II (TCAS-II) (Volume 67, Issue 10), DOI, PDF, Oct 2020. | |
Jörg Henkel, Hussam Amrouch, Marilyn Wolf Introduction to the Special Issue on Machine Learning for CAD in ACM Transactions on Design Automation of Electronic Systems (TODAES) (Volume 25, Issue 5), DOI, PDF, Oct 2020. | |
Guilherme Paim, Leandro M. G. Rocha,Hussam Amrouch, Eduardo A. C. da Costa, Sergio Bampi and Jörg Henkel A Cross-layer Gate-Level-to-Application Co-simulation for Design Space Exploration of Approximate Circuits in HEVC Video Encoders in IEEE Transactions on Circuits and Systems for Video Technology (TCSVT) (Volume 30, Issue 10), DOI, PDF, Oct 2020. | |
Tatsuji Munaka, Farzad Samie, Lars Bauer, Jörg Henkel Improved Feature Extraction Method for Sound Recognition applied to Automatic Sorting of Recycling Wastes in Journal of Information Processing (JIP, Volume 28), DOI, PDF, Sep 2020. | |
Bardia Safaei, Aliasghar Mohammadsalehi, Kimia Talaei Khoosani, Saba Zarbaf, Amir Mahdi Hosseini Monazzah, Farzad Samie, Lars Bauer, Jörg Henkel, Alireza Ejlali Impacts of Mobility Models on RPL-Based Mobile IoT Infrastructures: An Evaluative Comparison and Survey in IEEE Access (Volume 8), DOI, PDF, Sep 2020. | |
Om Prakash, Aniket Gupta, Girish Pahwa, Jörg Henkel, Yogesh S Chauhan, Hussam Amrouch Impact of Interface Traps on Negative Capacitance Transistor: Device and Circuit Reliability in IEEE Journal of the Electron Devices Society (Volume 8), DOI, PDF, Sep 2020. | |
Zois-Gerasimos Tasoulas, Georgios Zervakis, Iraklis Anagnostopoulos, Hussam Amrouch, Jörg Henkel Weight-Oriented Approximation for Energy-Efficient Neural Network Inference Accelerators in IEEE Transactions on Circuits and Systems I: Regular Papers (Volume 67, Issue 12), DOI, PDF, Sep 2020. | |
Hussam Amrouch, Girish Pahwa, Amol D. Gaidhane, Chetan K. Dabhi, Florian Klemme, Om Prakash and Yogesh Singh Chauhan Impact of Variability on Processor Performance in Negative Capacitance FinFET Technology in IEEE Transactions on Circuits and Systems I: Regular Papers (Volume 67, Issue 9), DOI, PDF, Sep 2020. | |
Farzad Samie, Lars Bauer, Jörg Henkel Hierarchical Classification for Constrained IoT Devices: A Case Study on Human Activity Recognition in IEEE Internet of Things Journal (Volume 7, Issue 9), DOI, PDF, Sep 2020. | |
Syed Ali Asadullah Bukhari, Faiq Khalid, Osman Hasan, Muhammad Shafique, Jörg Henkel Toward Model Checking-Driven Fair Comparison of Dynamic Thermal Management Techniques under Multi-Threaded Workloads in IEEE Transactions on Computer-Aided Design of Integrated Circuits and System (TCAD) (Volume 39, Issue 8), DOI, PDF, Aug 2020. | |
Heba Khdr, Muhammad Shafique, Santiago Pagani, Andreas Herkersdorf, Jörg Henkel Combinatorial Auctions for Temperature-Constrained Resource Management in Manycores in IEEE Transactions on Parallel and Distributed Systems (TPDS) (Volume 31, Issue 7), DOI, PDF, Jul 2020. | |
V. Surabhi, P. Krishnamurthy, H. Amrouch, K. Basu, J. Henkel, R. Karri, and F. Khorrami Hardware Trojan Detection using Controlled Circuit Aging in IEEE Access (Volume 8), DOI, PDF, Apr 2020. | |
H. Kim, J. Kim, H. Amrouch, J. Henkel, A. Gerstlauer, K. Choi, and P. Hanmin Aging Compensation with Dynamic Computation Approximation in IEEE Transactions on Circuits and Systems I: Regular Paper (Volume 67, Issue 4), DOI, PDF, Apr 2020. | |
Georgios Zervakis, Hussam Amrouch and Jörg Henkel Design Automation of Approximate Circuits With Runtime Reconfigurable Accuracy in IEEE Access (Volume 8), DOI, PDF, Mar 2020. | |
Farzad Samie, Vasileios Tsoutsouras, Dimosthenis Masouros, Lars Bauer, Dimitrios Soudris, Jörg Henkel Fast Operation Mode Selection for Highly Efficient IoT Edge Devices in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (Volume 39, Issue 3), DOI, PDF, Mar 2020. | |
Martin Rapp, Mark Sagi, Anuj Pathania, Andreas Herkersdorf, Jörg Henkel Power- and Cache-Aware Task Mapping with Dynamic Power Budgeting for Many-Cores in IEEE Transactions on Computers (TC) (Volume 69, Issue 1), DOI, PDF, Jan 2020. | |
Santiago Pagani, Sai Manoj P. D., Axel Jantsch, Jörg Henkel Machine Learning for Power, Energy, and Thermal Management on Multicore Processors: A Survey in IEEE Transactions on CAD of Integrated Circuits and Systems (TCAD) (Volume 39, Issue 1), DOI, PDF, Jan 2020. |
Conferences | |
---|---|
Florian Klemme, Jannik Prinz, Victor M. van Santen, Jörg Henkel, Hussam Amrouch Modeling Emerging Technologies using Machine Learning: Challenges and Opportunities in IEEE/ACM 39th International Conference On Computer Aided Design (ICCAD), Virtual Conference, DOI, PDF, Nov 2-5 2020. | |
Florian Klemme, Yogesh Chauhan, Jörg Henkel, and Hussam Amrouch Cell Library Characterization using Machine Learning for Design Technology Co-Optimization in IEEE/ACM 39th International Conference On Computer Aided Design (ICCAD), Virtual Conference, DOI, Nov 2-5 2020. | |
Jorge Castro-Godínez, Julián Mateus-Vargas, Muhammad Shafique, Jörg Henkel AxHLS: Design Space Exploration and High-Level Synthesis of Approximate Accelerators using Approximate Functional Units and Analytical Models in IEEE/ACM 39th International Conference On Computer Aided Design (ICCAD), Virtual Conference, Nov 2-5 2020. | |
G. Bajpai, A. Gupta, O. Prakash, G. Pahwa, J. Henkel, Y. Chauhan, and H. Amrouch Impact of Radiation on Negative Capacitance FinFET in Proceedings of the IEEE 58th International Reliability Physics Symposium (IRPS'20), Dallas, Texas, USA, DOI, PDF, Apr 28 - May 30 2020. | |
Victor M. van Santen, S. Thomann, C. Pasupuleti, P. Genssler, N. Gangwar, U. Sharma, J. Henkel, S. Mahapatra, and H. Amrouch BTI and HCD Degradation in a Complete 32X64 bit SRAM Array including Sense Amplifyers and Write Drivers under Processor Activity in Proceedings of the IEEE 58th International Reliability Physics Symposium (IRPS'20), Dallas, Texas, USA, DOI, PDF, Apr 2020. | |
Muhammad Sarmad Saeed, Moeez Akmal, Hareem Shafi, Muhammad Usama Sardar, Osman Hasan, Heba Khdr and Jörg Henkel Comparative Framework for the Analysis of Thermal and Resource Management Algorithms for Multi-Core Architectures in The 21st International Symposium on Quality Electronic Design (ISQED), Santa Clara, California, USA, DOI, PDF, Mar 26-29 2020. | |
Om Prakash, Aniket Gupta, Girish Pahway, Jörg Henkel, Yogesh S. Chauhany and Hussam Amrouch Impact of Interface Traps Induced Degradation on Negative Capacitance FinFET in IEEE Electron Devices Technology & Manufacturing Conference (EDTM), Penang, Malaysi, DOI, PDF, Mar 16-18 2020. | |
Tanfer Alan, Andreas Gerstlauer, Jörg Henkel Runtime Accuracy-Configurable Approximate Hardware Synthesis Using Logic Gating and Relaxation (IP) in IEEE/ACM 23rd Design, Automation and Test in Europe Conference (DATE'20), Grenoble, France, DOI, PDF, Mar 9-13 2020. | |
Om Prakash, S. Manhas, Jörg Henkel and Hussam Amrouch Impact of NBTI Aging on Self-Heating in Nanowire FET in IEEE/ACM 23rd Design, Automation and Test in Europe Conference (DATE'20), Grenoble, France, DOI, PDF, Mar 9-13 2020. | |
Sami Salamin, Martin Rapp, Hussam Amrouch, Andreas Gerstlauer and Jörg Henkel Energy Optimization in NCFET-based Processors (IP) in IEEE/ACM 23rd Design, Automation and Test in Europe Conference (DATE'20), Grenoble, France, DOI, PDF, Mar 9-13 2020. | |
Sheriff Sadiqbatcha, Yue Zhao, Jinwei Zhang, Hussam Amrouch, Jörg Henkel, Sheldon Tan Machine Learning Based Online Full-Chip Heatmap Estimation in 25th Asia and South Pacific Design Automation Conference, ASP-DAC, Beijing, China, DOI, PDF, Jan 13-16 2020. | |
Victor M. van Santen, Paul R. Genssler, Om Prakash, Simon Thomann, Jörg Henkel and Hussam Amrouch Impact of Self-Heating on Performance, Power and Reliability in FinFET Technology (special session) in 25th Asia and South Pacific Design Automation Conference, ASP-DAC, Beijing, China, DOI, PDF, Jan 13-16 2020. | |
Hussam Amrouch, Victor M. van Santen, Girish Pahwa, Yogesh Chauhan and Jörg Henkel NCFET to Rescue Technology Scaling: Opportunities and Challenges (special session) in 25th Asia and South Pacific Design Automation Conference, ASP-DAC, Beijing, China, DOI, PDF, Jan 13-16 2020. |
Editorials | |
---|---|
Jörg Henkel From the EIC: Education for Cyber-Physical Systems in IEEE Design & Test (Volume 37, Issue 6), DOI, PDF, Dec 2020. | |
Jörg Henkel From the EIC: From Smartphones to Wearable Devices in IEEE Design & Test (Volume 37, Issue 5), DOI, PDF, Oct 2020. | |
Jörg Henkel From the EIC: Special Issue on VTS in IEEE Design & Test (Volume 37, Issue 4), DOI, PDF, Sep 2020. | |
Jörg Henkel From the EIC: Special Issue on Image Processing, Correspondsing Hardware Architectures, and EDA Tools in IEEE Design & Test (Volume 37, Issue 3), DOI, PDF, Jun 2020. | |
Jörg Henkel From the EIC: Robust Machine Learning. in IEEE Design & Test (Volume 37, Issue 2), DOI, PDF, Apr 2020. | |
Jörg Henkel From the EIC: Embedded Intelligence in the Internet-of-Things. in IEEE Design & Test (Volume 37, Issue 1), DOI, PDF, Feb 2020. |
arXiv | |
---|---|
Martin Rapp, Ramin Khalili, Jörg Henkel Distributed Learning on Heterogeneous Resource-Constrained Devices in arXiv, DOI, PDF, Jun 2020. | |
Christian Hakert, Kuan-Hsun Chen, Paul R. Genssler, Georg von der Brüggen, Lars Bauer, Hussam Amrouch, Jian-Jia Chen, Jörg Henkel SoftWear: Software-Only In-Memory Wear-Leveling for Non-Volatile Main Memory in arXiv, PDF, Apr 2020. | |
Shuyuan Yu, Han Zhou, Shaoyi Peng, Hussam Amrouch, Jörg Henkel, Sheldon X.-D. Tan
Run-Time Accuracy Reconfigurable Stochastic Computing for Dynamic Reliability and Power Management in arXiv, PDF, Apr 2020. |
Books / Book Chapter | |
---|---|
Jörg Henkel, Nikil Dutt Dependable Embedded Systems Springer International Publishing, DOI, PDF, 2020. | |
Marvin Damschen, Martin Rapp, Lars Bauer, Jörg Henkel i-Core: A runtime-reconfigurable processor platform for cyber-physical systems Chapter in Embedded, Cyber-Physical, and IoT Systems: Smart Cameras, Hardware/Software Co-Design, and Multimedia — Essays Dedicated to Marilyn Wolf on the Occasion of Her 60th Birthday, Springer International Publishing, DOI, PDF, 2020. | |
Jian-Jia Chen, Jörg Henkel Our Perspectives Chapter in "Dependable Embedded Systems", Springer International Publishing, DOI, PDF, 2020. | |
Victor M. van Santen, Hussam Amrouch, Thomas Wild, Jörg Henkel, Andreas Herkersdorf Thermal Management and Communication Virtualization for Reliability Optimization in MPSoCs Chapter in "Dependable Embedded Systems", Springer International Publishing, DOI, PDF, 2020. | |
Andreas Herkersdorf, Michael Engel, Michael Glaß, Jörg Henkel, Veit B. Kleeberger, Johannes M. Kühn, Peter Marwedel, Daniel Mueller-Gritschneder, Sani R. Nassif, Semeen Rehman, Wolfgang Rosenstiel, Ulf Schlichtmann, Muhammad Shafique, Jürgen Teich, Norbert Wehn, Christian Weis RAP Model—Enabling Cross-Layer Analysis and Optimization for System-on-Chip Resilience Chapter in "Dependable Embedded Systems", Springer International Publishing, DOI, PDF, 2020. | |
Lars Bauer, Hongyan Zhang, Michael A. Kochte,Eric Schneider, Hans-Joachim Wunderlich, Jörg Henkel Online Test Strategies and Optimizations for Reliable Reconfigurable Architectures Chapter in "Dependable Embedded Systems", Springer International Publishing, DOI, PDF, 2020. | |
Florian Kriebel, Kuan-Hsun Chen, Semeen Rehman, Jörg Henkel, Jian-Jia Chen, Muhammad Shafique Dependable Software Generation and Execution on Embedded Systems Chapter in "Dependable Embedded Systems", Springer International Publishing, DOI, PDF, 2020. |
Workshop | |
---|---|
Martin Rapp, Omar Elfatairy, Marilyn Wolf, Jörg Henkel, Hussam Amrouch Towards NN-based Online Estimation of the Full-Chip Temperature and the Rate of Temperature Change in Proceedings of the 2020 ACM/IEEE Workshop on Machine Learning for CAD, DOI, PDF, Nov 2020. | |
Jorge Castro-Godínez, Humberto Barrantes-García, Muhammad Shafique, Jörg Henkel AxLS: An Open-Source Framework for Netlist Transformation Approximate Logic Synthesis in 3rd Workshop on Open-Source EDA Technology (WOSET), (Co-located with ICCAD '20), Virtual event, Nov 5 2020. | |
Florian Schmaus, Sebastian Maier, Tobias Langer, Jonas Rabenstein, Timo Hönig, Lars Bauer, Jörg Henkel, Wolfgang Schröder-Preikschat System Software for Resource Arbitration on Future Many-* Architectures in IEEE Workshop on Resource Arbitration for Dynamic Runtimes (RADR) in conjunction with IPDPS 2020, New-Orleans, LA, USA, DOI, PDF, May 18 2020. | |
Sami Salamin, Martin Rapp, Jörg Henkel und Hussam Amrouch Negative Capacitance Transistor to Rescue Technology Scaling Workshop Testmethoden und Zuverlässigkeit von Schaltungen und Systemen (TuZ 2020), Feb 2020. | |
Florian Schmaus, Sebastian Maier, Tobias Langer, Jonas Rabenstein, Lars Bauer, Jörg Henkel, Wolfgang Schröder-Preikschat Towards Taming the Computing Continuum: System Software for Future Many-Core Architectures in Workshop on the Computing Continuum in conjunction with HiPEAC 2020, Bologna, Italy, Jan 20 2020. |
WiP | |
---|---|
Shuyuan Yu, Han Zhou, Shaoyi Peng, Hussam Amrouch, Jörg Henkel, Sheldon X.-D. Tan Run-Time Accuracy Reconfigurable Stochastic Computing for Dynamic Reliability and Power Management: Work-in-Progress. in 2020 International Conference on Compilers, Architectures and Synthesis For Embedded Systems (CASES), Virtual Conference, DOI, PDF, Sep, 20-25 2020. | |
Jorge Castro-Godínez, Muhammad Shafique, Jörg Henkel Towards Quality-Driven Approximate Software Generation for Accurate Hardware (WiP) in 2020 International Conference on Compilers, Architectures and Synthesis For Embedded Systems (CASES), Virtual Conference, DOI, PDF, Sep, 20-25 2020. |
Other | |
---|---|
Deykel Hernández-Araya, Jorge Castro-Godínez, Muhammad Shafique and Jörg Henkel AUGER: A Tool for Generating Approximate Arithmetic Circuits in 11th IEEE Latin American Symposium on Circuits and Systems – LASCAS 2020, San José, Costa Rica, DOI, PDF, Feb 25-28 2020. | |
Jorge Castro-Godínez, Deykel Hernández-Araya, Muhammad Shafique and Jörg Henkel Approximate Acceleration for CNN-based Applications on IoT Edge Devices in 11th IEEE Latin American Symposium on Circuits and Systems – LASCAS 2020, San José, Costa Rica, DOI, PDF, Feb 25-28 2020. |
PhD Thesis | |
---|---|
Castro-Godínez, Jorge Automated Design of Approximate Accelerators Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2020. |
Year 2019
Journals | |
---|---|
Sai Manoj Pudukotai Dinakarrao, Arun Joseph, Anand Haridass, Muhammad Shafique, Jörg Henkel, Houman Homayoun Application and Thermal-reliability-aware Reinforcement Learning Based Multi-core Power Management in ACM Journal on Emerging Technologies in Computing Systems (JETC) (Volume 15, Issue 4), DOI, PDF, Dec 2019. | |
Hussam Amrouch, Borna Ehsani, Andreas Gerstlauer, and Jörg Henkel On the Efficiency of Voltage Overscaling under Temperature and Aging Effects in IEEE Transactions on Computers (TC'19) (Volume 68, Issue 11), DOI, PDF, Nov 2019. | |
Jorge Castro-Godínez, Muhammad Shafique, Jörg Henkel ECAx: Balancing Error Correction Costs in Approximate Accelerators in ACM Transaction on Embedded Computing Systems (TECS) (Volume 18, Issue 5S), DOI, PDF, Oct 2019. | |
Felipe Martin Sampaio, Bruno Zatt, Muhammad Shafique, Jörg Henkel, Sergio Bampi Hybrid Scratchpad Video Memory Architecture for Energy-Efficient Parallel HEVC in IEEE Transactions on Circuits and Systems for Video Technology (Volume 29, Issue 10), DOI, PDF, Oct 2019. | |
Victor M. van Santen, Hussam Amrouch, Jörg Henkel Modeling and Evaluating the Gate Length Dependence of BTI in IEEE Trans. on Circuits and Systems (Volume 66, Issue 9), DOI, PDF, Sep 2019. | |
Sami Salamin, Victor M. van Santen, Hussam Amrouch, Narendra Parihar, Souvik Mahapatra, and Jörg Henkel Modeling the Interdependences between Voltage Fluctuation and BTI Aging in IEEE Transactions on Very Large Scale Integration Systems (TVLSI) (Volume 27, Issue 7), DOI, PDF, Jul 2019. | |
Hussam Amrouch, Sami Salamin, Girish Pahwa, Amol Gaidhane, Jörg Henkel,Yogesh Singh Chauhan Unveiling the Impact of IR-drop on Performance Gain in NCFET-based Processors in IEEE Transactions on Electron Devices (TED) (Volume 66, Issue 7), DOI, PDF, Jul 2019. | |
Victor M. van Santen, Hussam Amrouch and Jörg Henkel Modeling and Mitigating Time-Dependent Variability from the Physical Level to the Circuit Level in IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) (Volume 66, Issue 7), DOI, PDF, Jul 2019. | |
Farzad Samie, Lars Bauer, Jörg Henkel From Cloud Down to Things: An Overview of Machine Learning in Internet of Things in IEEE Internet of Things Journal (IoT-J) (Volume 6, Issue 3), DOI, PDF, Jun 2019. | |
Anuj Pathania, Jörg Henkel HotSniper: Sniper-Based Toolchain for Many-Core Thermal Simulations in Open Systems in IEEE Embedded System Letters (IEEE ESL) (Volume 66, Issue 7), DOI, PDF, Jun 2019. | |
Farzad Samie, Vasileios Tsoutsouras, Lars Bauer, Sotirios Xydis,Dimitrios Soudris, and Jörg Henkel Oops: Optimizing Operation-mode Selection for IoT Edge Devices in ACM Transactions on Internet Technology (TOIT), Special Section on Fog, Edge, and Cloud Integration for Smart Environments (Volume 19, Issue 2), DOI, PDF, Apr 2019. | |
Victor M. van Santen, Hussam Amrouch and Jörg Henkel New Worst-Case Timing for Standard Cells under Aging Effects in IEEE Transactions on Device and Materials Reliability (T-DMR) (Volume 19, Issue 1), DOI, PDF, Mar 2019. | |
Subrat Mishra, Hussam Amrouch, Jerin Joe, Chetan K Dabhi, Karansingh Thakor, Yogesh S Chauhan, Jörg Henkel and Souvik Mahapatra Device to Circuit Framework for Activity Dependent NBTI Aging in Digital Circuits in IEEE Transactions on Electron Devices (TED) (Volume , Issue ) (Volume 66, Issue 1), DOI, PDF, Jan 2019. | |
Subrat Mishra, Hussam Amrouch, Jerin Joe, Chetan K Dabhi, Karansingh Thakor, Yogesh S Chauhan, Jörg Henkel and Souvik Mahapatra A Simulation Study of NBTI Impact on 14nm node FinFET Technology for Logic Applications: Device Degradation to Circuit Level Interaction in IEEE Transactions on Electron Devices (TED) (Volume 66, Issue 1), DOI, PDF, Jan 2019. | |
Behnam Khaleghi, Behzad Omidi, Hussam Amrouch, Jörg Henkel and Hossein Asadi Estimating and Mitigating Aging Effects in Routing Network of FPGAs in IEEE Transactions on VLSI Systems (TVLSI) (Volume 27, Issue 3), DOI, PDF, Jan 2019. | |
Heba Khdr, Hussam Amrouch and Jörg Henkel Dynamic Guardband Selection: Thermal-Aware Optimization for Unreliable Multi-Core Systems in IEEE Transactions on Computers (TC) (Volume 68, Issue 1), DOI, PDF, Jan 2019. |
Conferences | |
---|---|
Behnaz Pourmohseni, Fedor Smirnov, Heba Khdr, Stefan Wildermann, Jürgen Teich, Jörg Henkel Thermally Composable Hybrid Application Mapping for Real-Time Applications in Heterogeneous Many-Core Systems in IEEE Real-Time Systems Symposium (RTSS), Hong Kong, DOI, PDF, Dec 3-6 2019. | |
Jörg Henkel, Hussam Amrouch, Martin Rapp, Sami Salamin, Dayane Reis, Di Gao , Xunzhao Yin, Michael Niemier, Cheng Zhuo, X. Sharon Hu, Hsiang-Yun Cheng, Chia-Lin Yang The Impact of Emerging Technologies on Architectures and System-level Management (special session) in IEEE/ACM 38th International Conference on Computer-Aided Design (ICCAD),Westminster, CO, DOI, PDF, Nov 4-7 2019. | |
Marvin Damschen, Lars Bauer, Jörg Henkel WCET Guarantees for Opportunistic Runtime Reconfiguration in IEEE/ACM 38th International Conference on Computer-Aided Design (ICCAD), Westminster, CO, DOI, PDF, Nov 3-4 2019. | |
Nadir Khan, Sven Nitzsche, Raffaela Frank, Lars Bauer, Jörg Henkel, Jürgen Becker Amplifying Side Channel Leakage by Hard-ware Modification of Xilinx Zynq-7 FPGA Evaluation Boards in 13th International Conference on Emerging Security Information, Systems and Technologies (SECURWARE), Oct 27-31 2019. | |
Lars Bauer, Marvin Damschen, Dirk Ziegenbein, Arne Hamann, Alessandro Biondi, Giorgio Buttazzo, Jörg Henkel Analyses and Architectures for Mixed-Critical Systems: Industry Trends and Research Perspective (Special Session) in International Conference on Embedded Software (EMSOFT’19), New York City, NY, USA, DOI, PDF, Oct 13-18 2019. | |
Lei Han, Hussam Amrouch, Zili Shao, Jörg Henkel Rebirth-FTL: Lifetime optimization via Approximate Storage for NAND Flash in IEEE Non-Volatile Memory Systems and Applications Symposium (NVMSA), Hangzhou, China, DOI, PDF, Aug 18-21 2019. | |
Sami Salamin, Martin Rapp, Hussam Amrouch, Girish Pahwa, Yogesh S. Chauhan, and Jörg Henkel NCFET-Aware Voltage Scaling in IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED'19), Lausanne, Switzerland, DOI, PDF, Jul 29-31 2019. | |
Hussam Amrouch, Victor M. van Santen, Om Prakash, Hammam Kattan, Sami Salamin, Simon Thomann, and Jörg Henkel Reliability Challenges with Self-Heating and Aging in FinFET Technology in IEEE 25th International Symposium on On-Line Testing And Robust System Design (IOLTS'19), (special session), Rhodes Island, Greece, DOI, PDF, Jul 1-3 2019. | |
Martin Rapp, Sami Salamin, Hussam Amrouch, Girish Pahwa, Yogesh S. Chauhan and Jörg Henkel Performance, Power and Cooling Trade-Offs with NCFET-based Many-Cores in ACM/EDAC/IEEE 56th Design Automation Conference (DAC), Las Vegas, USA, DOI, PDF, Jun 2-6 2019. | |
Frank Sill Torres, Hussam Amrouch, Jörg Henkel and Rolf Drechsler Impact of NBTI on Increasing the Susceptibility of FinFET to Radiation in IEEE 56th International Reliability Physics Symposium (IRPS), CA, USA, DOI, PDF, Mar 31-Apr 4 2019. | |
Jongho Kim, Heesu Kim, Hussam Amrouch, Jörg Henkel, Andreas Gerstlauer, Kiyoung Choi Aging Gracefully with Approximation in IEEE International Symposium on Circuits and Systems (ISCAS), Japan, DOI, PDF, Mar 26-29 2019. | |
Sami Salamin, Hussam Amrouch and Jörg Henkel Selecting the Optimal Energy Point in Near-Threshold Computing (regular) in IEEE/ACM 22nd Design, Automation and Test in Europe Conference (DATE'19), Florence, Italy, DOI, PDF, Mar 25-29 2019. | |
Manolis Katsaragakis, Dimosthenis Masouros, Vasileios Tsoutsouras, Farzad Samie, Lars Bauer, Jörg Henkel and Dimitrios Soudris DMRM: Distributed Market-Based Resource Management of Edge Computing Systems in IEEE/ACM 22nd Design, Automation and Test in Europe Conference (DATE'19), Florence, Italy, DOI, PDF, Mar 25-29 2019. | |
Sheriff Sadiqbatcha, Hengyang Zhao, Hussam Amrouch, Jörg Henkel and Sheldon Tan Hot Spot Identification and System Parameterized Thermal Modeling for Multi-Core Processors Through Infrared Thermal Imaging (regular) in IEEE/ACM 22nd Design, Automation and Test in Europe Conference (DATE'19), Florence, Italy, DOI, PDF, Mar 25-29 2019. | |
Jörg Henkel, Heba Khdr, Martin Rapp Smart Thermal Management for Heterogeneous Multicores (Special Session) in IEEE/ACM 22nd Design, Automation and Test in Europe Conference (DATE'19), Florence, Italy, DOI, PDF, Mar 25-29 2019. | |
Sajjad Hussain, Muhammad Shafique and Jörg Henkel Thermal-Awareness in a Soft Error Tolerant Architecture (IP) in IEEE/ACM 22nd Design, Automation and Test in Europe Conference (DATE'19), Florence, Italy, DOI, PDF, Mar 25-29 2019. | |
Martin Rapp, Anuj Pathania, Tulika Mitra and Jörg Henkel Prediction-Based Task Migration on S-NUCA Many-Cores (IP) in IEEE/ACM 22nd Design, Automation and Test in Europe Conference (DATE'19), Florence, Italy, DOI, PDF, Mar 25-29 2019. | |
Sajjad Hussain, Muhammad Shafique and Jörg Henkel A Fine-Grained Soft Error Resilient Architecture under Power Considerations (IP) in IEEE/ACM 22nd Design, Automation and Test in Europe Conference (DATE'19), Florence, Italy, DOI, PDF, Mar 25-29 2019. |
Editorials | |
---|---|
Jörg Henkel From the EIC: Secure Automotive Systems. in IEEE Design & Test (Volume 36, Issue 6), DOI, PDF, Dec 2019. | |
Jörg Henkel From the EIC: Smart and Autonomous Systems. in IEEE Design & Test (Volume 36, Issue 5), DOI, PDF, Oct 2019. | |
Jörg Henkel From the EIC: Circuits and Systems for VLSI IoT Devices in IEEE Design & Test (Volume 36, Issue 4), DOI, PDF, Aug 2019. | |
Jörg Henkel From the EIC: Architecture Advances Enabled by Emerging Technologies in IEEE Design & Test (Volume 36, Issue 3), DOI, PDF, Jun 2019. | |
Jörg Henkel From the EIC: Intelligent Resource-Constrained Sensor Nodes in IEEE Design & Test (Volume 36, Issue 2), DOI, PDF, Apr 2019. | |
Jörg Henkel From the EIC: Special Section on Test. in IEEE Design & Test (Volume 36, Issue 1), DOI, PDF, Feb 2019. |
Books / Book Chapter | |
---|---|
Lars Bauer, Hongyan Zhang, Michael A. Kochte, Eric Schneider, Hans-Joachim Wunderlich, Jörg Henkel Advances in Hardware Reliability of Reconfigurable Many-core Embedded Systems Chapter in Many-Core Computing: Hardware and software, DOI, PDF, 2019. | |
Farzad Samie, Lars Bauer, Jörg Henkel Edge Computing for Smart Grid: An Overview on Architectures and Solutions Chapter in IoT for Smart Grids, Springer International Publishing, DOI, PDF, 2019. | |
Hussam Amrouch, Heba Khdr and Jörg Henkel Aging Effects: From Physics to CAD Chapter in Harnessing Performance Variability in Embedded and High-performance Many/Multi-core Platforms, Springer International Publishing AG, DOI, PDF, 2019. | |
Semeen Rehman, Bharath Srinivas Prabakaran, Walaa El-Harouni, Muhammad Shafique, Jörg Henkel Heterogeneous Approximate Multipliers: Architectures and Design Methodologies Chapter in Approximate Circuits, Springer International Publishing, DOI, PDF, 2019. |
Workshop | |
---|---|
Martin Rapp, Hussam Amrouch, Marilyn Wolf, Jörg Henkel Machine Learning Techniques to Support Many-Core Resource Management: Challenges and Opportunities in ACM/IEEE Workshop on Machine Learning for CAD (MLCAD), Canmore, Canada, DOI, PDF, Sep 3-4 2019. | |
Christian Hakert, Mikail Yayla, Kuan-Hsun Chen, Georg von der Brüggen, Jian-Jia Chen, Sebastian Buschjäger, Katharina Morik, Paul R Genssler, Lars Bauer, Hussam Amrouch, Jörg Henkel Stack Usage Analysis for Efficient Wear Leveling in Non-Volatile Main Memory Systems in 2019 ACM/IEEE 1st Workshop on Machine Learning for CAD (MLCAD), DOI, PDF, Sep 3-4 2019. |
Other | |
---|---|
Marvin Damschen, Lars Bauer, Jörg Henkel Worst-Case Execution Time Guarantees for Runtime-Reconfigurable Architectures Ph.D. Forum at IEEE/ACM 22nd Design, Automation and Test in Europe Conference (DATE'19), Mar 25-29 2019. |
Year 2018
Journals | |
---|---|
Hussam Amrouch, Girish Pahwa, Amol Gaidhane, Jörg Henkel, Yogesh Singh Chauhan Negative Capacitance Transistor to Address the Fundamental Limitations in Technology Scaling: Processor Performance in IEEE Access (Volume 6), DOI, PDF, Dec 2018. | |
Enrico Rossi, Marvin Damschen, Lars Bauer, Giorgio Buttazzo, and Jörg Henkel Preemption of the Partial Reconfiguration Process to Enable Real-Time Computing with FPGAs in ACM Transactions on Reconfigurable Technology and Systems (TRETS) (Volume 11, Issue 2), DOI, PDF, Nov 2018. | |
Marvin Damschen, Frank Mueller, Jörg Henkel Co-Scheduling on Fused CPU-GPU Architectures with Shared Last Level Caches in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (Volume 37, Issue 11), ESWEEK Special Issue, DOI, PDF, Nov 2018. | |
Tanfer Alan, Jörg Henkel SlackHammer: Logic Synthesis for Graceful Errors Under Frequency Scaling in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (Volume 37, Issue 11), ESWEEK Special Issue, DOI, PDF, Nov 2018. Additional material: Poster (pdf), Presentation (pptx) | |
Heba Khdr, Hussam Amrouch and Jörg Henkel Aging-Aware Boosting in IEEE Transactions on Computers (TC) (Volume 67, Issue 9), DOI, PDF, Sep 2018. | |
Farzad Samie, Vasileios Tsoutsouras, Lars Bauer, Sotiris Xydis, Dimitrios Soudris, and Jörg Henkel Distributed Trade-based Edge Device Management in Multi-gateway IoT in ACM Transactions on Cyber-Physical Systems (TCPS) (Volume 2, Issue 3), Special Issue on Internet of Things, DOI, PDF, Jul 2018. | |
Sheldon X.-D. Tan, Hussam Amrouch, Taeyoung Kim, Zeyu Sun, Chase Cook, Jörg Henkel Recent Advances in EM and BTI induced Reliability Modeling, Analysis and Optimizatio (invited) in Integration, the VLSI Journal (Volume 60), DOI, PDF, Jan 2018. | |
Victor M. van Santen, Javier Martin-Martinez, Hussam Amrouch, Montserrat Nafria, Jörg Henkel Reliability in Super- and Near-Threshold Computing: A Unified Model of RTN, BTI and PV in IEEE Transactions on Circuits and Systems I (TCAS-I) (Volume 65, Issue 1), DOI, PDF, Jan 2018. |
Conferences | |
---|---|
Hussam Amrouch, Victor M. van Santen and Jörg Henkel Estimating and Optimizing BTI Aging Effects: From Physics to CAD (Special Session) in IEEE/ACM 37th International Conference on Computer-Aided Design (ICCAD), San Diego, CA, DOI, PDF, Nov 5-8 2018. | |
Jörg Henkel, Jürgen Teich, Stefan Wildermann and Hussam Amrouch Dynamic Resource Management for Heterogeneous Many-Cores (Special Session) in IEEE/ACM 37th International Conference on Computer-Aided Design (ICCAD), San Diego, CA, DOI, PDF, Nov 5-8 2018. | |
Behzad Boroujerdian, Hussam Amrouch, Jörg Henkel and Andreas Gerstlauer Trading off temperature guardbands via adaptive approximations in International Conference on Computer Design (ICCD), Florida, USA, DOI, PDF, Oct 7-10 2018. | |
Martin Rapp, Anuj Pathania and Jörg Henkel Pareto-Optimal Power- and Cache-Aware Task Mapping for Many-Cores with Distributed Shared Last-Level Cache in ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED'18), Bellevue, Washington, USA, DOI, PDF, Jul 23-25 2018. | |
Victor M. van Santen, Hussam Amrouch and Jörg Henkel Reliability Estimations of Large Circuits in Massively-Parallel GPU-SPICE (Special Session) in 24th IEEE International Symposium on On-Line Testing and Robust System Design (IOLTS), Costa Brava, Spain, DOI, PDF, Jul 2-4 2018. | |
Heba Khdr, Hussam Amrouch and Jörg Henkel Aging-Constrained Performance Optimization for Multi Cores in ACM/EDAC/IEEE 55th Design Automation Conference (DAC), San Francisco, CA, USA, DOI, PDF, Jun 24-28 2018. | |
Anuj Pathania, Heba Khdr, Muhammad Shafique, Tulika Mitra and Jörg Henkel QoS-Aware Stochastic Power Management for Many-Cores in ACM/EDAC/IEEE 55th Design Automation Conference (DAC), San Francisco, CA, USA, DOI, PDF, Jun 24-28 2018. | |
Anuj Pathania, Jörg Henkel Task Scheduling for Many-Cores with S-NUCA Caches in IEEE/ACM 21th Design, Automation and Test in Europe Conference (DATE’18), Dresden, Germany, DOI, PDF, Mar 19-23 2018. | |
Farzad Samie, Sebastian Paul, Lars Bauer, and Jörg Henkel Highly Efficient and Accurate Seizure Prediction on Constrained IoT devices in IEEE/ACM 21th Design, Automation and Test in Europe Conference (DATE’18), Dresden, Germany, DOI, PDF, Mar 19-23 2018. | |
Jorge Castro-Godínez, Sven Esser, Muhammad Shafique, Santiago Pagani, Jörg Henkel Compiler-Driven Error Analysis for Designing Approximate Accelerators in IEEE/ACM 21th Design, Automation and Test in Europe Conference (DATE’18), Dresden, Germany, DOI, PDF, Mar 19-23 2018. | |
Victor M. van Santen, Javier Diaz-Fortuny, Hussam Amrouch, Javier Martin-Martinez,Rosana Rodriguez, Rafael Castro-Lopez, Elisenda Roca, Francisco V. Fernandez, Jörg Henkel and Montserrat Nafria Weighted Time Lag Plot Defect Parameter Extraction and GPU-based BTI Modeling for BTI Variability in IEEE 55th International Reliability Physics Symposium (IRPS), San Francisco , CA, USA, DOI, PDF, Mar 11-15 2018. |
Editorials | |
---|---|
Jörg Henkel From the EIC: Managing Electric Vehicles. in IEEE Design & Test (Volume 35, Issue 6), DOI, PDF, Dec 2018. | |
Jörg Henkel From the EIC: Self-Awareness in Systems on Chip, Part II. in IEEE Design & Test (Volume 35, Issue 5), DOI, PDF, Oct 2018. | |
Jörg Henkel From the EIC: Time-Critical Systems Design, Part II. in IEEE Design & Test (Volume 35, Issue 4), DOI, PDF, Aug 2018. | |
Jörg Henkel From the EIC: Test for Automotive. in IEEE Design & Test (Volume 35, Issue 3), DOI, PDF, Jun 2018. | |
Jörg Henkel From the EIC: Time-Critical Systems Design. in IEEE Design & Test (Volume 35, Issue 2), DOI, PDF, Apr 2018. | |
Jörg Henkel From the EIC: Design and Test of Energy-Efficient, High-Performance, and Secure Computing Technologies via Accelerators in IEEE Design & Test (Volume 35, Issue 1), DOI, PDF, Feb 2018. | |
Sybille Hellebrand, Jörg Henkel, Anand Raghunathan, Hans-Joachim Wunderlich Guest Editors' Introduction. in IEEE Embedded Systems Letters (Volume 10, Issue 1), DOI, PDF, Feb 2018. | |
Petru Eles, Jörg Henkel Guest Editorial for the Special Issue of ESWEEK 2016 in ACM Transactions on Embedded Computing Systems (TECS. Vol 17, Issue 1), DOI, PDF, Jan 2018. |
Books / Book Chapter | |
---|---|
Heba Khdr, Santiago Pagani, Muhammad Shafique, Jörg Henkel Dark Silicon Aware Resource Management for Many-Core Systems Chapter in book Advances in Computers: Dark Silicon and Future of On-chip Systems. Elsevier, 2018. | |
Pagani, S., Chen, J.-J., Shafique, M., Henkel, J. Advanced Techniques for Power, Energy, and Thermal Management for Clustered Manycores Springer International Publishing, 2018. | |
Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel Energy Efficient Embedded Video Processing Systems Springer International Publishing, 2018. |
Other | |
---|---|
Vanchinathan Venkatramani, Anuj Pathania, Muhammad Shafique, Tulika Mitra, Jörg Henkel Scalable Dynamic Task Scheduling on Adaptive Many-Core (invited) in IEEE 12th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), Hanoi, Vietnam, DOI, PDF, Sep 12-14 2018. | |
Hussam Amrouch, Behnam Khaleghi and Jörg Henkel Voltage Adaptation under Temperature Variation (Special Session) in 15th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD), Prague, Czech Republic, DOI, PDF, Jul 2-5 2018. | |
Jorge Castro-Godínez, Jörg Henkel Error Propagation Estimation on Approximate Designs with Compiler-Driven Support in 3rd. Workshop on Approximate Computing (AxC 18), Special Session, Bremen, German, May 31-Jun 1 2018. |
PhD Thesis | |
---|---|
Damschen, Marvin Worst-Case Execution Time Guarantees for Runtime-Reconfigurable Architectures Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2018. | |
Khdr, Heba Resource Management for Multicores to Optimize Performance under Temperature and Aging Constraints Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2018. | |
Pathania, Anuj Scalable Task Schedulers for Many-Core Architectures Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2018. | |
Samie, Farzad Resource Management for Edge Computing in Internet of Things (IoT) Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2018. |
Year 2017
Journals | |
---|---|
Jörg Henkel, Paolo Montuschi Computer Engineers' Challenges for the Next Decade: The Triangle of Power Density, Circuit Degradation, and Reliability in IEEE Computer (Volume 50, Issue 7), DOI, PDF, Jul 2017. | |
Hussam Amrouch, Victor M. van Santen, Jörg Henkel Interdependencies of Degradation Effects and their Impact on Computing in IEEE Design & Test (Volume 34, Issue 3), DOI, PDF, Jun 2017. | |
Anuj Pathania, Vanchinathan Venkatramani, Muhammad Shafique, Tulika Mitra, Jörg Henkel Optimal Greedy Algorithm for Many-Core Scheduling in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (Volume 36, Issue 6), DOI, PDF, Jun 2017. | |
Hongyan Zhang, Lars Bauer, Michael A. Kochte, Eric Schneider, Hans-Joachim Wunderlich, Jörg Henkel Aging Resilience and Fault Tolerance in Runtime Reconfigurable Architectures in IEEE Transactions on Computers (TC) (Volume 66, Issue 6), DOI, PDF, Jun 2017. | |
Santiago Pagani, Anuj Pathania, Muhammad Shafique, Jian-Jia Chen, Jörg Henkel Energy Efficiency for Clustered Heterogeneous Multicores in IEEE Transactions on Parallel and Distributed Systems (TPDS) (Volume 28, Issue 5), DOI, PDF, May 2017. | |
Anuj Pathania, Vanchinathan Venkatramani, Muhammad Shafique, Tulika Mitra, Jörg Henkel Defragmentation of Tasks in Many-Core Architectures in ACM Transactions on Architecture and Code Optimization (TACO) (Volume 14, Issue 1), DOI, PDF, Apr 2017. | |
Tuo Li, Muhammad Shafique, Jude Angelo Ambrose, Jörg Henkel, Sri Parameswaran Fine-Grained Checkpoint Recovery for Application-Specific Instruction-Set Processors in IEEE Transactions on Computers (TC) (Volume 66, Issue 4), DOI, PDF, Apr 2017. | |
Muhammad Shafique, Semeen Rehman, Florian Kriebel, Muhammad Usman Karim Khan, Bruno Zatt, Arun Subramaniyan, Bruno Boessio Vizzotto, Jörg Henkel Application-Guided Power-Efficient Fault Tolerance for H.264 Context Adaptive Variable Length Coding in IEEE Transactions on Computers (TC) (Volume 66, Issue 4), DOI, PDF, Apr 2017. | |
Marvin Damschen, Lars Bauer, Jörg Henkel CoRQ: Enabling Runtime Reconfiguration under WCET Guarantees for Real-Time Systems in IEEE Embedded Systems Letters (ESL) (Volume 9, Issue 1), DOI, PDF, Mar 2017. | |
Heba Khdr, Santiago Pagani, Éricles Sousa, Vahid Lari, Anuj Pathania, Frank Hannig, Muhammad Shafique, Jürgen Teich, Jörg Henkel Power density-aware resource management for heterogeneous tiled multicores in IEEE Transactions on Computers (TC) (Volume 66, Issue 3), DOI, PDF, Mar 2017. | |
Sana Mazahir, Osman Hasan, Rehan Hafiz, Muhammad Shafique, Jörg Henkel Probabilistic Error Modeling for Approximate Adders in IEEE Transactions on Computers (TC) (Volume 66, Issue 3), DOI, PDF, Mar 2017. | |
Mateus Grellert, Bruno Zatt, Muhammad Shafique, Sergio Bampi, Jörg Henkel Complexity Control of HEVC Encoders Targeting Real-Time Constraints in Journal of Real-Time Image Processing (JRTIP) (Volume 13, Issue 1), DOI, PDF, Mar 2017. | |
Lothar Thiele, Jörg Henkel Report of the 2016 Embedded Systems Week (ESWEEK) in IEEE Design & Test (Volume 34, Issue 1), DOI, PDF, Feb 2017. | |
Muhammad Usama Sardar, Osman Hasan, Muhammad Shafique, Jörg Henkel Theorem proving based Formal Verification of Distributed Dynamic Thermal Management schemes in J. Parallel Distrib. Comput. (Volume 100), DOI, PDF, Feb 2017. | |
Artjom Grudnitsky, Lars Bauer, Jörg Henkel Efficient Partial Online-Synthesis of Special Instructions for Reconfigurable Processors in IEEE Transactions on Very Large Scale Integration Systems (TVLSI) (Volume 25, Issue 2), DOI, PDF, Feb 2017. | |
Marvin Damschen, Lars Bauer, Jörg Henkel Timing Analysis of Tasks on Runtime Reconfigurable Processors in IEEE Transactions on Very Large Scale Integration Systems (TVLSI) (Volume 25, Issue 1), DOI, PDF, Jan 2017. | |
Santiago Pagani, Heba Khdr, Jian-Jia Chen, Muhammad Shafique, Minming Li, Jörg Henkel Thermal safe power (TSP): Efficient power budgeting for heterogeneous manycore systems in dark silicon in IEEE Transactions on Computers (TC), (Volume 66, Issue 1), DOI, PDF, Jan 2017. | |
Syed Ali Asadullah Bukhari, Faiq Khalid Lodhi, Osman Hasan, Muhammad Shafique, Jörg Henkel FAMe-TM: Formal Analysis Methodology for Task Migration Algorithms in Many-Core Systems in Elsevier Science of Computer Programming (SCICO) (Volume 133, Part 2), DOI, PDF, Jan 2017. |
Conferences | |
---|---|
Hussam Amrouch, Jörg Henkel Containing Guardbands (Special Session Paper) in 22nd IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC'17), Chiba/Tokyo, Japan, DOI, PDF, Jan 16-19 2017. | |
Hussam Amrouch, Prashanth Krishnamurthy, Naman Patel, Jörg Henkel, Ramesh Karri, Farshad Khorrami Emerging (Un-)Reliability Based Security Threats and Mitigations for Embedded Systems in IEEE/ACM International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES'17), DOI, PDF, Oct 15-20 2017. | |
Tanja Harbaum, Christoph Schade, Marvin Damschen, Carsten Tradowsky, Lars Bauer, Jörg Henkel, Jürgen Becker Auto-SI: An Adaptive Reconfigurable Processor with Run-time Loop Detection and Acceleration in 30th IEEE International System-on-Chip Conference (SOCC), Munich, Germany, DOI, PDF, Sep 5-8 2017. | |
Hussam Amrouch, Behnam Khaleghi, Andreas Gerstlauer, Jörg Henkel Towards Aging-Induced Approximations in Design Automation Conference (DAC), Austin, Texax, USA, DOI, PDF, Jun 18-22 2017. BestPaper Nomination | |
Hussam Amrouch, Subrat Mishra, Victor M. van Santen, Souvik Mahapatra, Jörg Henkel Impact of BTI on Dynamic and Static Power: From the Physical to Circuit Level in IEEE/ACM 20th Design, Automation and Test in Europe Conference (DATE'17), Lausanne, Switzerland, DOI, PDF, Mar 27-31 2017. | |
Hussam Amrouch, Behnam Khaleghi, Jörg Henkel Optimizing Temperature Guardbands in IEEE/ACM 20th Design, Automation and Test in Europe Conference (DATE'17), Lausanne, Switzerland, DOI, PDF, Mar 27-31 2017. BestPaper Nomination | |
Syed Ali Asadullah Bukhari, Faiq Khalid Lodhi, Osman Hasan, Muhammad Shafique, Jörg Henkel CAnDy-TM: Comparative Analysis of Dynamic Thermal Management in Many-Cores using Model Checking in IEEE/ACM 20th Design, Automation and Test in Europe Conference (DATE'17), Lausanne, Switzerland, DOI, PDF, Mar 27-31 2017. | |
Jörg Henkel, Santiago Pagani, Hussam Amrouch, Lars Bauer, Farzad Samie Ultra-Low Power and Dependability for IoT Devices (special session paper) in IEEE/ACM 20th Design, Automation and Test in Europe Conference (DATE'17), Lausanne, Switzerland, DOI, PDF, Mar 27-31 2017. | |
Anuj Pathania, Heba Khdr, Muhammad Shafique, Tulika Mitra, Jörg Henkel Scalable Probabilistic Power Budgeting for Many-Cores in IEEE/ACM 20th Design, Automation and Test in Europe Conference (DATE'17), Lausanne, Switzerland, DOI, PDF, Mar 27-31 2017. | |
Arun Subramaniyan, Semeen Rehman, Muhammad Shafique, Akash Kumar, Jörg Henkel Soft Error-Aware Architectural Exploration for Designing Reliability Adaptive Cache Hierarchies in Multi-Cores in IEEE/ACM 20th Design, Automation and Test in Europe Conference (DATE'17), Lausanne, Switzerland, DOI, PDF, Mar 27-31 2017. |
Editorials | |
---|---|
Jörg Henkel Self-Aware On-Chip Systems in IEEE Design & Test (Volume 34, Issue 6), DOI, PDF, Dec 2017. | |
Jörg Henkel Verification and Test in IEEE Design & Test (Volume 34, Issue 5), DOI, PDF, Oct 2017. | |
Jörg Henkel Cyber-Physical Systems Security and Privacy in IEEE Design & Test (Volume 34, Issue 4), DOI, PDF, Aug 2017. | |
Jörg Henkel Emerging Memory Technologies in IEEE Design & Test (Volume 34, Issue 3), DOI, PDF, Jun 2017. | |
Jörg Henkel Power Density in IEEE Design & Test (Volume 34, Issue 2), DOI, PDF, Apr 2017. | |
Jörg Henkel 3D Test in IEEE Design & Test (Volume 34, Issue 1), DOI, PDF, Feb 2017. |
Books / Book Chapter | |
---|---|
Santiago Pagani, Muhammad Shafique, Jörg Henkel Design Space Exploration and Run-Time Adaptation for Multi-Core Resource Management Under Performance and Power Constraints Chapter in book Handbook of Hardware/Software Codesign (Editors: Soonhoi Ha, Jürgen Teich), DOI, PDF, 2017. | |
Santiago Pagani, Heba Khdr, Jian-Jia Chen, Muhammad Shafique, Minming Li, Jörg Henkel Thermal safe power: Efficient thermal-aware power budgeting for manycore systems in dark silicon Chapter in Book The Dark Side of Silicon (Editors: Amir M. Rahmani, Pasi Liljeberg, Ahmed Hemani, Axel Jantsch, Hannu Tenhunen), DOI, PDF, 2017. | |
Haseeb Bokhari, Muhammad ShafiqueJörg Henke, lSri Parameswaran Adroit Use of Dark Silicon for Power, Performance and Reliability Optimisation of NoCs Chapter in Book The Dark Side of Silicon (Editors: Amir M. Rahmani, Pasi Liljeberg, Ahmed Hemani, Axel Jantsch, Hannu Tenhunen), DOI, PDF, 2017. |
Other | |
---|---|
Vasileios Tenentes, Charles Leech, Graeme M. Bragg, Geo Merrett, Bashir M. Al-Hashimi, Hussam Amrouch, Jörg Henkel, Shidhartha Das Hardware and Software Innovations in Energy-Efficient System-Reliability Monitoring Special Session Paper) in IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), DOI, PDF, Oct 23-25 2017. | |
Hussam Amrouch and Jörg Henkel Evaluating and Mitigating Degradation Effects in Multimedia Circuits (invited) in IEEE Symposium on Embedded Systems for Real-Time Multimedia (ESTIMedia'17), October 15-20, Seoul, South Korea, DOI, PDF, Oct 2017. | |
Alexander Pöppl, Marvin Damschen, Florian Schmaus, Andreas Fried, Manuel Mohr, Matthias Blankertz, Lars Bauer, Jörg Henkel, Wolfgang Schröder-Preikschat, Michael Bader Shallow Water Waves on a Deep Technology Stack: Accelerating a Finite Volume Tsunami Model using Reconfigurable Hardware in Invasive Computing in 10th Workshop on UnConventional High Performance Computing (UCHPC), Santiago de Compostela, Spain, DOI, PDF, Aug 8-9 2017. | |
Hussam Amrouch, Jörg Henkel Containing Guardbands: From the Macro to Micro Time Domain in 20. Workshop „Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV'17)”, Haus der Wissenschaft, Bremen, Germany, Feb 8-9 2017. |
PhD Thesis | |
---|---|
Zhang, Hongyan Cross-Layer Dependability for Runtime Reconfigurable Architectures Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2017. |
Year 2016
Journals | |
---|---|
Marvin Damschen, Lars Bauer, Jörg Henkel Extending the WCET Problem to Optimize for Runtime-Reconfigurable Processors in ACM Transactions on Architecture and Code Optimization (TACO) (Volume 13, Issue 4), DOI, PDF, Dec 2016. | |
Muhammad Shafique, Muhammad Usman Karim Khan, Jörg Henkel Content-Aware Low-Power Configurable Aging Mitigation for SRAM Memories in IEEE Transactions on Computers (TC) (Volume 65, Issue 12), DOI, PDF, Dec 2016. | |
Muhammad Shafique, Anton Ivanov, Benjamin Vogel, Jörg Henkel Scalable Power Management for On-Chip Systems with Malleable Applications in IEEE Transactions on Computers (TC) (Volume 65, Issue 11), DOI, PDF, Nov 2016. | |
Kuan-Hsun Chen, Jian-Jia Chen, Florian Kriebel, Semeen Rehman, Muhammad Shafique, Jörg Henkel Task Mapping for Redundant Multithreading in Multi-Cores with Reliability and Performance Heterogeneity in IEEE Trans. Computers (Volume 65, Issue 11), DOI, PDF, Nov 2016. | |
Hossein Tajik, Bryan Donyanavard, Nikil Dutt, Janmartin Jahn, Jörg Henkel SPMPool: Runtime SPM Management for Memory-intensive Applications in Embedded Many-Cores in ACM Transactions on Embedded Computing Systems (TECS) (Volume 16, Issue 1), DOI, PDF, Nov 2016. | |
Florian Kriebel, Semeen Rehman, Arun Subramaniyan, Segnon Jean Bruno Ahandagbe, Muhammad Shafique, Jörg Henkel Reliability-Aware Adaptations for Shared Last-Level Caches in Multi-Cores in ACM Transactions on Embedded Computing Systems (TECS) (Volume 15, Issue 4), DOI, PDF, Aug 2016. | |
Muhammad Usama Sardar, Osman Hasan, Muhammad Shafique, Jörg Henkel Theorem Proving Based Formal Verification of Distributed Dynamic Thermal Management Schemes in Journal of Parallel and Distributed Computing (JPDC) (Volume 100), DOI, PDF, Aug 2016. | |
Amit Kumar Singh, Muhammad Shafique, Akash Kumar, Jörg Henkel Analysis and Mapping for Thermal and Energy Efficiency of 3D Video Processing on 3D Multi-Core Processors in IEEE Transactions on Very Large Scale Integration Systems (TVLSI) (Volume 24, Issue 8), DOI, PDF, Aug 2016. | |
Mohammad Salehi, Mohammad Khavari Tavana, Semeen Rehman, Muhammad Shafique, Alireza Ejlali, Jörg Henkel Two-State Checkpointing for Energy-Efficient Fault-Tolerance in Hard Real-Time Systems in IEEE Transactions on Very Large Scale Integration Systems (TVLSI) (Volume 24, Issue 7), DOI, PDF, Jul 2016. | |
Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel Power-Efficient Workload Balancing for Video Applications in IEEE Transactions on Very Large Scale Integration Systems (TVLSI) (Volume 24, Issue 6), DOI, PDF, Jun 2016. | |
Fazal Hameed, Lars Bauer, Jörg Henkel Architecting On-Chip DRAM Cache for Simultaneous Miss Rate and Latency Reduction in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (Volume 35, Issue 4), DOI, PDF, Apr 2016. | |
Florian Kriebel, Semeen Rehman, Muhammad Shafique, Siddharth Garg, Jörg Henkel Variability and Reliability-Awareness in the Age of Dark Silicon in IEEE Design & Test (Volume 33, Issue 2), DOI, PDF, Mar 2016. | |
Semeen Rehman, Kuan-Hsun Chen, Florian Kriebel, Anas Toma, Muhammad Shafique, Jian-Jia Chen, Jörg Henkel Cross-Layer Software Dependability on Unreliable Hardware in IEEE Transactions on Computers (TC) (Volume 65, Issue 1), DOI, PDF, Jan 2016. | |
Amit Singh, Muhammad Shafique, Akash Kumar, Jörg Henkel Resource and Throughput Aware Execution Trace Analysis for Efficient Run-time Mapping on MPSoCs in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (Volume 35, Issue 1), DOI, PDF, Jan 2016. |
Conferences | |
---|---|
Jörg Henkel, Hussam Amrouch Designing Reliable, yet Energy-Efficient Guardbands (special session paper) in 23rd IEEE International Conference on Electronics, Circuits and Systems (ICECS'16), Monte Carlo, Monaco, DOI, PDF, Dec 14-16 2016. | |
Farzad Samie, Vasileios Tsoutsouras, Lars Bauer, Sotirios Xydis, Dimitrios Soudris, Jörg Henkel Computation Offloading Management and Resource Allocation for Low-power IoT Edge Devices in IEEE World Forum on Internet of Things (WF-IoT), Reston, VA, USA, DOI, PDF, Dec 12-14 2016. | |
Semeen Rehman, Walaa El-Harouni, Muhammad Shafique, Akash Kumar, Jörg Henkel Architectural-Space Exploration of Approximate Multipliers in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Austin, TX, USA, DOI, PDF, Nov 7-10 2016. | |
Paul Bogdan, Partha Pratim Pande, Hussam Amrouch, Muhammad Shafique, Jörg Henkel Power and Thermal Management in Massive Multicore Chips: Theoretical Foundation meets Architectural Innovation and Resource Allocation (special session paper) in IEEE/ACM International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES'16), Pittsburgh, PA, USA, DOI, PDF, Oct 2-7 2016. | |
Farzad Samie, Lars Bauer, Jörg Henkel IoT Technologies for Embedded Computing: A Survey in International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), IoT day, Pittsburgh, PA, USA, DOI, PDF, Oct 2-7 2016. | |
Farzad Samie, Vasileios Tsoutsouras, Sotirios Xydis, Lars Bauer, Dimitrios Soudris, Jörg Henkel Distributed QoS Management for Internet of Things under Resource Constraints in International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), IoT day, Pittsburgh, PA, USA, DOI, PDF, Oct 2-7 2016. | |
Behnam Khaleghi, Behzad Omidi, Hussam Amrouch, Jörg Henkel, Hossein Asadi Stress-Aware Routing to Mitigate Aging Effects in SRAM-based FPGAs in International Conference on Field-Programmable Logic and Applications (FPL), Lausanne, Switzerland, DOI, PDF, Aug 29-Sep 2 2016. | |
Anas Toma, Santiago Pagani, Jian-Jia Chen, Wolfgang Karl, Jörg Henkel An Energy-Efficient Middleware for Computation Offloading in Real-Time Embedded Systems in 22nd IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA), Daegu, South Korea, DOI, PDF, Aug 17-19 2016. | |
Hussam Amrouch, Behnam Khaleghi, Andreas Gerstlauer, Jörg Henkel Reliability-Aware Design to Suppress Aging in ACM/EDAC/IEEE 53rd Design Automation Conference (DAC'16), Austin, TX, USA, DOI, PDF, Jun 5-9 2016. | |
Sana Mazahir, Osman Hasan, Rehan Hafiz, Muhammad Shafique, Jörg Henkel ageOpt-RMT: Compiler-Driven Variation-Aware Aging Optimization for Redundant Multithreading in ACM/EDAC/IEEE 53rd Design Automation Conference (DAC'16), Austin, TX, USA, DOI, PDF, Jun 5-9 2016. | |
Anuj Pathania, Vanchinathan Venkataramani, Muhammad Shafique, Tulika Mitra, Jörg Henkel Distributed Scheduling for Many-Cores Using Cooperative Game Theory in ACM/EDAC/IEEE 53rd Design Automation Conference (DAC'16), Austin, TX, USA, DOI, PDF, Jun 5-9 2016. | |
Alok Prakash, Hussam Amrouch, Shafique Muhammad, Tulika Mitra, Jörg Henkel Improving Mobile Gaming Performance through Cooperative CPU-GPU Thermal Management in ACM/EDAC/IEEE 53rd Design Automation Conference (DAC'16), Austin, TX, USA, DOI, PDF, Jun 5-9 2016. Best Paper Nomination | |
Victor M. van Santen, Hussam Amrouch, Javier Martin-Martinez, Montserrat Nafria, Jörg Henkel Designing Guardbands for Instantaneous Aging Effects in ACM/EDAC/IEEE 53rd Design Automation Conference (DAC'16), Austin, TX, USA, DOI, PDF, Jun 5-9 2016. | |
Muhammad Shafique, Rehan Hafiz, Semeen Rehman, Walaa El-Harouni, Jörg Henkel Cross-Layer Approximate Computing: From Logic to Architectures (invited special session paper) in ACM/EDAC/IEEE 53rd Design Automation Conference (DAC'16), Austin, TX, USA, DOI, PDF, Jun 5-9 2016. Also see open-source libraries: lpACLib, GeAR | |
Hongyan Zhang, Lars Bauer, Jörg Henkel Resource Budgeting for Reliability in Reconfigurable Architectures in ACM/EDAC/IEEE 53rd Design Automation Conference (DAC'16), Austin, TX, USA, DOI, PDF, Jun 5-9 2016. | |
Muhammad Shafique, Semeen Rehman, Florian Kriebel, Jörg Henkel Cross-Layer Reliability Modeling and Optimization: Compiler and Run-Time System Interactions in Software and Compilers for Embedded Systems (SCOPES), Sankt Goar, Germany, DOI, PDF, May 23-25 2016. | |
Jörg Henkel, Santiago Pagani, Heba Khdr, Florian Kriebel, Semeen Rehman, Muhammad Shafique Towards Performance and Reliability-Efficient Computing in the Dark Silicon Era in IEEE/ACM 19th Design, Automation and Test in Europe Conference (DATE'16), Dresden, Germany, DOI, PDF, Mar 14-18 2016. | |
Shafaq Iqtedar, Osman Hasan, Muhammad Shafique, Jörg Henkel Formal Probabilistic Analysis of Distributed Resource Management Schemes in On-Chip Systems in IEEE/ACM 19th Design, Automation and Test in Europe Conference (DATE'16), Dresden, Germany, DOI, PDF, Mar 14-18 2016. | |
Muhammad Usman Karim Khan, Muhammad Shafique, Apratim Gupta, Thomas Schumann, Jörg Henkel Power-Efficient Load-Balancing on Heterogeneous Computing Platforms in IEEE/ACM 19th Design, Automation and Test in Europe Conference (DATE'16), Dresden, Germany, DOI, PDF, Mar 14-18 2016. | |
Daniel Palomino, Muhammad Shafique, Altamiro Susin, Jörg Henkel Thermal Optimization using Adaptive Approximate Computing for Video Coding in IEEE/ACM 19th Design, Automation and Test in Europe Conference (DATE'16), Dresden, Germany, DOI, PDF, Mar 14-18 2016. | |
Anuj Pathania, Vanchinathan Venkataramani, Muhammad Shafique, Tulika Mitra, Jörg Henkel Distributed Fair Scheduling for Many-Cores in IEEE/ACM 19th Design, Automation and Test in Europe Conference (DATE'16), Dresden, Germany, DOI, PDF, Mar 14-18 2016. | |
Victor M. van Santen, Hussam Amrouch, Narendra Parihar, Souvik Mahapatra, Jörg Henkel Aging-Aware Voltage Scaling in IEEE/ACM 19th Design, Automation and Test in Europe Conference (DATE'16), Dresden, Germany, DOI, PDF, Mar 14-18 2016. |
Editorials | |
---|---|
Jörg Henkel Best in Test in IEEE Design & Test (Volume 33, Issue 6), DOI, PDF, Dec 2016. | |
Jörg Henkel Designing and Testing Implantable Medical Devices in IEEE Design & Test (Volume 33, Issue 5), DOI, PDF, Oct 2016. | |
Jörg Henkel Robustness for 3-D Circuits—Industrial Perspectives in IEEE Design & Test (Volume 33, Issue 3), DOI, PDF, May 2016. | |
Jörg Henkel Three-Dimensional Integrated Circuits in IEEE Design & Test (Volume 33, Issue 2), DOI, PDF, Mar 2016. | |
Jörg Henkel Approximate Computing: Solving Computing's Inefficiency Problem? in IEEE Design & Test (Volume 33, Issue 1), DOI, PDF, Jan 2016. |
Books / Book Chapter | |
---|---|
Semeen Rehman, Muhammad Shafique, Jörg Henkel Reliable Software for Unreliable Hardware - A Cross Layer Perspective Springer, DOI, PDF, 2016. ISBN 978-3-319-25770-9 |
Workshop | |
---|---|
Victor M. van Santen, Hussam Amrouch, Jörg Henkel
Modeling Short and Long-term Effects of Aging from the Defect to Application Level (invited presentation) in Workshop on System-to-Silicon Performance Modeling and Analysis at the ACM/EDAC/IEEE 53rd Design Automation Conference (DAC) , June 5-9 2016. |
Other | |
---|---|
Stefan Wildermann, Michael Bader, Lars Bauer, Marvin Damschen, Dirk Gabriel, Michael Gerndt, Michael Glaß, Jörg Henkel, Johny Paul, Alexander Pöppl, Sascha Roloff, Tobias Schwarzer, Gregor Snelting, Walter Stechele, Jürgen Teich, Andreas Weichslgartner, Andreas Zwinkau Invasive Computing for Timing-Predictable Stream Processing on MPSoCs in it – Information Technology (IT), Band 58, Heft 6, DOI, PDF, Dec 2016. | |
Santiago Pagani, Lars Bauer, Qingqing Chen, Elisabeth Glocker, Frank Hannig, Andreas Herkersdorf, Heba Khdr, Anuj Pathania, Ulf Schlichtmann, Doris Schmitt-Landsiedel, Mark Sagi, Ericles Rodrigues Sousa, Philipp Wagner, Volker Wenzel, Thomas Wild, Jörg Henkel Dark silicon management: an integrated and coordinated cross-layer approach in it – Information Technology (IT), Band 58, Heft 6, DOI, PDF, Dec 2016. |
PhD Thesis | |
---|---|
Pagani, Santiago Power, Energy, and Thermal Management for Clustered Manycores Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2016. |
Year 2015
Journals | |
---|---|
Muhammad Usman Karim Khan, Muhammad Shafique, Lars Bauer, Jörg Henkel Multicast FullHD H.264 Intra Video Encoder Architecture in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (Volume 34, Issue 12), DOI, PDF, Dec 2015. | |
Johny Paul, Walter Stechele, Benjamin Oechslein, Christoph Erhardt, Jens Schedel, Daniel Lohmann, Wolfgang Schröder-Preikschat, Manfred Kröhnert, Tamim Asfour, Éricles Sousa, Vahid Lari, Frank Hannig, Jürgen Teich, Artjom Grudnitsky, Lars Bauer, Jörg Henkel Resource-awareness on heterogeneous MPSoCs for image processing in Journal of Systems Architecture (JSA'15) (Volume 61, Issue 10), DOI, PDF, Nov 2015. | |
Santiago Pagani, Jian-Jia Chen, Jörg Henkel Energy and Peak Power Efficiency Analysis for the Single Voltage Approximation (SVA) Scheme in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (Volume 34, Issue 9), DOI, PDF, Sep 2015. | |
Janmartin Jahn, Santiago Pagani, Sebastian Kobbe, Jian-Jia Chen, Jörg Henkel Runtime Resource Allocation for Software Pipelines in ACM Transactions on Parallel Computing (TOPC) (Volume 2, Issue 1, Article No. 5), DOI, PDF, May 2015. | |
Cláudio Diniz, Muhammad Shafique, Sergio Bampi, Jörg Henkel A Reconfigurable Hardware Architecture for Fractional Pixel Interpolation in High Efficiency Video Coding in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (Volume 34, Issue 2), DOI, PDF, Feb 2015. |
Conferences | |
---|---|
Hussam Amrouch, Jörg Henkel Reliability Degradation in the Scope of Aging – From Physical to System Level (invited talk) in 10th IEEE International Design & Test Symposium (IDT'15), Dead Sea, Jordan, DOI, PDF, Dec 14-16 2015. | |
Muhammad Shafique, Jörg Henkel Mitigating the Power Density and Temperature Problems in the Nano-Era in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Austin, TX, USA, DOI, PDF, Nov 2-6 2015. | |
Hongyan Zhang, Michael A. Kochte, Eric Schneider, Lars Bauer, Hans-Joachim Wunderlich, Jörg Henkel STRAP: Stress-Aware Placement for Aging Mitigation in Runtime Reconfigurable Architectures in International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Amsterdam, The Netherlands, DOI, PDF, Nov 2-6 2015. | |
Lars Bauer, Artjom Grudnitsky, Marvin Damschen, Srinivas Rao Kerekare, Jörg Henkel Floating Point Acceleration for Stream Processing Applications in Dynamically Reconfigurable Processors (invited special session paper) in International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Amsterdam, The Netherlands, DOI, PDF, Oct 8-9 2015. | |
Felipe Sampaio, Muhammad Shafique, Bruno Zatt, Sergio Bampi, Jörg Henkel Approximation-Aware Multi-Level Cells STT-RAM Cache Architecture in International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Amsterdam, The Netherlands, DOI, PDF, Oct 4-9 2015. | |
Florian Kriebel, Arun Subramaniyan, Semeen Rehman, Segnon Jean Bruno Ahandagbe, Muhammad Shafique, Jörg Henkel R2Cache: Reliability-Aware Reconfigurable Last-Level Cache Architecture for Multi-Cores in International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Amsterdam, The Netherlands, DOI, PDF, Oct 4-9 2015. | |
Santiago Pagani, Muhammad Shafique, Heba Khdr, Jian-Jia Chen, Jörg Henkel seBoost: Selective Boosting for Heterogeneous Manycores in International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Amsterdam, The Netherlands, DOI, PDF, Oct 4-9 2015. | |
Mohammad Salehi, Muhammad Shafique, Florian Kriebel, Semeen Rehman, Mohammad Khavari Tavana, Alireza Ejlali, Jörg Henkel dsReliM: Power-Constrained Reliability Management in Dark-Silicon Many-Core Chips under Process Variations in International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Amsterdam, The Netherlands, DOI, PDF, Oct 4-9 2015. | |
Farzad Samie, Lars Bauer, Jörg Henkel An Approximate Compressor for Wearable Biomedical Healthcare Monitoring Systems in International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Amsterdam, The Netherlands, DOI, PDF, Oct 4-9 2015. | |
Jörg Henkel, Haseeb Bukhari, Siddharth Garg, Muhammad Usman Karim Khan, Heba Khdr, Florian Kriebel, Umit Ogras, Sri Parameswaran, Muhammad Shafique Dark Silicon - From Computation to Communication (invited special session paper) in 9th International Symposium on Networks-on-Chip (NOCS'15), Vancouver, Canada, DOI, PDF, Sep 28-30 2015. | |
Hussam Amrouch, Jörg Henkel Lucid Infrared Thermography of Thermally-Constrained Processors in ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED'15), Rome, Italy, DOI, PDF, Jul 22-24 2015. | |
Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel Hierarchical Power Budgeting for Dark Silicon Chips in ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED'15), Rome, Italy, DOI, PDF, Jul 22-24 2015. | |
Anuj Pathania, Santiago Pagani, Muhammad Shafique, Jörg Henkel Power Management for Mobile Games on Asymmetric Multi-Cores in ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED'15), Rome, Italy, DOI, PDF, Jul 22-24 2015. | |
Mohammad Salehi, Mohammad Khavari Tavana, Semeen Rehman, Florian Kriebel, Muhammad Shafique, Alireza Ejlali, Jörg Henkel DRVS: Power-Efficient Reliability Management through Dynamic Redundancy and Voltage Scaling under Variations in ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED'15), Rome, Italy, DOI, PDF, Jul 22-24 2015. | |
Haseeb Bokhari, Haris Javaid, Muhammad Shafique, Jörg Henkel, Sri Parameswaran SuperNet: Multimode Interconnect Architecture for Manycore Chips in ACM/EDAC/IEEE 52nd Design Automation Conference (DAC), San Francisco, CA, USA,, DOI, PDF, Jun 7-11 2015. | |
Dennis Gnad, Muhammad Shafique, Florian Kriebel, Semeen Rehman, Duo Sun, Jörg Henkel Hayat: Harnessing Dark Silicon and Variability for Aging Deceleration and Balancing in ACM/EDAC/IEEE 52nd Design Automation Conference (DAC), San Francisco, CA, USA,, DOI, PDF, Jun 7-11 2015. | |
Jörg Henkel, Heba Khdr, Santiago Pagani, Muhammad Shafique New Trends in Dark Silicon (special session) in ACM/EDAC/IEEE 52nd Design Automation Conference (DAC), San Francisco, CA, USA,, DOI, PDF, Jun 7-11 2015. | |
Heba Khdr, Santiago Pagani, Muhammad Shafique, Jörg Henkel Thermal Constrained Resource Management for Mixed ILP-TLP Workloads in Dark Silicon Chips in ACM/EDAC/IEEE 52nd Design Automation Conference (DAC), San Francisco, CA, USA,, DOI, PDF, Jun 7-11 2015. | |
Muhammad Shafique, Waqas Ahmad, Rehan Hafiz, Jörg Henkel A low latency Generic Accuracy Configurable Adder in ACM/EDAC/IEEE 52nd Design Automation Conference (DAC), San Francisco, CA, USA,, DOI, PDF, Jun 7-11 2015. | |
Muhammad Shafique, Muhammad Usman Karim Khan, Orcun Tuefek, Jörg Henkel EnAAM: Energy-Efficient Anti-Aging for On-Chip Video Memories in ACM/EDAC/IEEE 52nd Design Automation Conference (DAC), San Francisco, CA, USA,, DOI, PDF, Jun 7-11 2015. | |
Shafaq Iqtedar, Osman Hasan, Muhammad Shafique, Jörg Henkel Probabilistic Formal Verification Methodology for Decentralized Thermal Management in On-Chip Systems in IEEE 24th International Conference on Enabling Technologies: Infrastructure for Collaborative Enterprises (WETICE), Larnaca, Cyprus, DOI, PDF, Jun 2015. | |
Jörg Henkel, Muhammad Usman Karim Khan, Muhammad Shafique Energy-Efficient Multimedia Systems for High Efficiency Video Coding in IEEE International Symposium on Circuits and Systems (ISCAS), Lisbon, Portugal, DOI, PDF, May 24-27 2015. | |
Hussam Amrouch, Javier Martin-Martinez, Victor M. van Santen, Miquel Moras, Rosana Rodriguez, Montserrat Nafria and Jörg Henkel Connecting the Physical and Application Level Towards Grasping Aging Effects in IEEE 53rd International Reliability Physics Symposium (IRPS), Monterey, CA, USA, DOI, PDF, Apr 19-23 2015. | |
Haseeb Bokhari, Haris Javaid, Muhammad Shafique, Jörg Henkel, Sri Parameswaran Malleable NoC: Dark Silicon Inspired Adaptable Network on Chip in IEEE/ACM 18th Design, Automation and Test in Europe Conference (DATE'15), Grenoble, France, DOI, PDF, Mar 9-13 2015. | |
Cláudio Diniz, Muhammad Shafique, Felipe Dalcin, Sergio Bampi, Jörg Henkel A Deblocking Filter Hardware Architecture for the High Efficiency Video Coding Standard in IEEE/ACM 18th Design, Automation and Test in Europe Conference (DATE'15), Grenoble, France, DOI, PDF, Mar 9-13 2015. | |
Shafaq Iqtedar, Osman Hasan, Muhammad Shafique, Jörg Henkel Formal Probabilistic Analysis of Distributed Dynamic Thermal Management in IEEE/ACM 18th Design, Automation and Test in Europe Conference (DATE'15), Grenoble, France, DOI, PDF, Mar 9-13 2015. | |
Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel Power-Efficient Accelerator Allocation in Adaptive Dark Silicon Many-Core Systems in IEEE/ACM 18th Design, Automation and Test in Europe Conference (DATE'15), Grenoble, France, DOI, PDF, Mar 9-13 2015. | |
Florian Kriebel, Semeen Rehman, Duo Sun, Pau Vilimelis Aceituno, Muhammad Shafique, Jörg Henkel ACSEM: Accuracy-Configurable Fast Soft Error Masking Analysis in Combinatorial Circuits in IEEE/ACM 18th Design, Automation and Test in Europe Conference (DATE'15), Grenoble, France, DOI, PDF, Mar 9-13 2015. | |
Sebastian Kobbe, Lars Bauer, Jörg Henkel Adaptive on-the-fly Application Performance Modeling for Many Cores in IEEE/ACM 18th Design, Automation and Test in Europe Conference (DATE'15), Grenoble, France, DOI, PDF, Mar 9-13 2015. | |
Santiago Pagani, Jian-Jia Chen, Muhammad Shafique, Jörg Henkel MatEx: Efficient Transient and Peak Temperature Computation for Compact Thermal Models in IEEE/ACM 18th Design, Automation and Test in Europe Conference (DATE'15), Grenoble, France, DOI, PDF, Mar 9-13 2015. | |
Farzad Samie, Lars Bauer, Chih-Ming Hsieh, Jörg Henkel Online Binding of Applications to Multiple Clock Domains in Shared FPGA-based Systems in IEEE/ACM 18th Design, Automation and Test in Europe Conference (DATE'15), Grenoble, France, DOI, PDF, Mar 9-13 2015. | |
Muhammad Shafique, Dennis Gnad, Siddharth Garg, Jörg Henkel Variability-Aware Dark Silicon Management in On-Chip Many-Core Systems in IEEE/ACM 18th Design, Automation and Test in Europe Conference (DATE'15), Grenoble, France, DOI, PDF, Mar 9-13 2015. | |
Xi Zhang, Haris Javaid, Muhammad Shafique, Jorgen Peddersen, Jörg Henkel, Sri Parameswaran E-pipeline: Elastic Hardware/Software Pipelines on a Many-Core Fabric in IEEE/ACM 18th Design, Automation and Test in Europe Conference (DATE'15), Grenoble, France, DOI, PDF, Mar 9-13 2015. | |
Xi Zhang, Haris Javaid, Muhammad Shafique, Jude Angelo Ambrose, Jörg Henkel, Sri Parameswaran ADAPT: An ADAptive Manycore Methodology for Software Pipelined ApplicaTions in 20th IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), Chiba/Tokyo, Japan, DOI, PDF, Jan 19-22 2015. |
Workshop | |
---|---|
Santiago Pagani, Jian-Jia Chen, Muhammad Shafique, Jörg Henkel Thermal-Aware Power Budgeting for Dark Silicon Chips (special session paper) in 2nd Workshop on Low-Power Dependable Computing (LPDC) at the International Green and Sustainable Computing Conference (IGSC), Las Vegas, Nevada, USA, DOI, PDF, Dec 14-16 2015. | |
M. Shafique, W. Ahmad, R. Hafiz, J. Henkel A Generic Adder Model with Variable Approximation Modes in Workshop on Approximate Computing (AC), Paderborn, Germany, Oct 15-16 2015. | |
M. Shafique, F. Sampaio, B. Zatt, S. Bampi, J. Henkel Resilience-Driven STT-RAM Cache Architecture for Approximate Computing in Workshop on Approximate Computing (AC), Paderborn, Germany, Oct 15-16 2015. | |
Santiago Pagani, Muhammad Shafique, Jian-Jia Chen, Jörg Henkel Thermal-Aware Power Budgeting for Dark Silicon Chips (invited presentation) in Workshop on System-to-Silicon Performance Modeling and Analysis at the ACM/EDAC/IEEE 52nd Design Automation Conference (DAC), San Francisco, CA, USA, Jun 8-12 2015. |
Other | |
---|---|
Jörg Henkel Dependable embedded systems in it - Information Technology (Volume 57, Issue 3, Pages 147–148), DOI, PDF, Jun 2015. | |
Lars Bauer, Jörg Henkel, Andreas Herkersdorf, Michael A. Kochte, Johannes M. Kühn, Wolfgang Rosenstiel, Thomas Schweizer, Stefan Wallentowitz, Volker Wenzel, Thomas Wild, Hans-Joachim Wunderlich, Hongyan Zhang Adaptive multi-layer techniques for increased system dependability in it - Information Technology (Volume 57, Issue 3, Pages 149–158), DOI, PDF, Jun 2015. | |
Muhammad Shafique, Philip Axer, Christoph Borchert, Jian-Jia Chen, Kuan-Hsun Chen, Björn Döbel, Rolf Ernst, Hermann Härtig, Andreas Heinig, Rüdiger Kapitza, Florian Kriebel, Daniel Lohmann, Peter Marwedel, Semeen Rehman, Florian Schmoll, Olaf Spinczyk Multi-Layer Software Reliability for Unreliable Hardware in it - Information Technology (Volume 57, Issue 3, Pages 170–180), DOI, PDF, Jun 2015. |
PhD Thesis | |
---|---|
Grudnitsky, Artjom A Reconfigurable Processor for Heterogeneous Multi-Core Architectures Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2015. | |
Khan, Muhammad Usman Karim Towards Computational Efficiency of Next Generation Multimedia Systems Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2015. | |
Rehman, Semeen Reliable Software for Unreliable Hardware – A Cross-Layer Approach Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2015. | |
Amrouch, Hussam Techniques for Aging, Soft Errors and Temperature to Increase the Reliability of Embedded On-Chip Systems Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2015. | |
Kobbe, Sebastian Scalable and Distributed Resource Management for Many-Core Systems Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2015. | |
Hameed, Fazal DRAM Aware Last-Level-Cache Policies for Multi-core Systems Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2015. |
Year 2014
Journals | |
---|---|
Semeen Rehman, Florian Kriebel, Muhammad Shafique, Jörg Henkel Reliability-Driven Software Transformations for Unreliable Hardware in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (Volume 33, Issue 11), DOI, PDF, Nov 2014. | |
Jörg Henkel, Lars Bauer, Artjom Grudnitsky, Hongyan Zhang: Adaptive embedded computing with i-core in SIGBED Review (Volume 11 Issue 3), DOI, PDF, Oct 2014. | |
A. Herkersdorf, H. Aliee, M. Engel, M. Glaß, C. Gimmler-Dumont, J. Henkel, V. B. Kleeberger, M. A. Kochte, J. M. Kühn, D. Mueller-Gritschneder, S. R. Nassif, H. Rauchfuss, W. Rosenstiel, U. Schlichtmann, M. Shafique, M. B. Tahoori, J. Teich, N. Wehn, C. Weis, H.-J. Wunderlich Resilience Articulation Point (RAP): Cross-layer Dependability Modeling for Nanometer System-on-chip Resilience in Elsevier Microelectronics Reliability Journal (Volume 54, Issues 6–7, Pages 1066–1074), DOI, PDF, Jun-Jul 2014. | |
Hussam Amrouch, Thomas Ebi, Jörg Henkel RESI: Register-Embedded Self-Immunity for Reliability Enhancement in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (Volume 33, Issue 5), DOI, PDF, May 2014. | |
Haris Javaid, Muhammad Shafique, Jörg Henkel, Sri Parameswaran Energy-Efficient Adaptive Pipelined MPSoCs for Multimedia Applications in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (Volume 33, Issue 5), DOI, PDF, May 2014. | |
Nadine Azémard, Jörg Henkel Selected Peer-Reviewed Articles from the 4th European Workshop on CMOS Variability, Karlsruhe, Germany, September 9-11, 2013 in J. Low Power Electronics (Volume 10, Issue 1), DOI, PDF, Mar 2014. | |
Muhammad Shafique, Lars Bauer, Jörg Henkel Adaptive Energy Management for Dynamically Reconfigurable Processors in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (Volume 33, Issue 1), DOI, PDF, Jan 2014. |
Conferences | |
---|---|
Waqaas Munawar, Heba Khdr, Santiago Pagani, Muhammad Shafique, Jian-Jia Chen and Jörg Henkel Peak Power Management for Scheduling Real-time Tasks on Heterogeneous Many-Core Systems in 20th IEEE International Conference on Parallel and Distributed Systems (ICPADS), Hsinchu, Taiwan, DOI, PDF, Dec 16-19 2014. | |
Saad Shoaib, Rehan Hafiz, Muhammad Shafique Hardware/Software Co-design of Embedded Real-Time KD-Tree Based Feature Matching Systems in International Symposium on Visual Computing (ISVC), Las Vegas, Nevada, USA, Dec 8 2014. | |
Hussam Amrouch, Victor M. van Santen, Thomas Ebi, Volker Wenzel, Jörg Henkel Towards Interdependencies of Aging Mechanisms in IEEE/ACM 33rd International Conference on Computer-Aided Design (ICCAD), San Jose, CA, USA, DOI, PDF, Nov 3-6 2014. | |
Felipe Sampaio, Muhammad Shafique, Bruno Zatt, Sergio Bampi, Jörg Henkel Energy-Efficient Architecture for Advanced Video Memory in IEEE/ACM 33rd International Conference on Computer-Aided Design (ICCAD), San Jose, CA, USA, DOI, PDF, Nov 3-6 2014. | |
Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel Fast Hierarchical Intra Angular Mode Selection for High Efficiency Video Coding in International Conference on Image Processing (ICIP'14), Paris, France, DOI, PDF, Oct 27-30 2014. | |
Muhammad Shafique, Muhammad Usman Karim Khan, Jörg Henkel Power Efficient and Workload Balanced Tiling for Parallelized High Efficiency Video Coding in International Conference on Image Processing (ICIP'14), Paris, France, DOI, PDF, Oct 27-30 2014. | |
Stefan Wallentowitz, Stefan Rosch, Thomas Wild, Andreas Herkersdorf, Volker Wenzel, Jörg Henkel: Dependable task and communication migration in tiled manycore system-on-chip. in Forum on Specification and Design Languages, FDL 2014, Munich, Germany, DOI, PDF, Oct 14-16 2014. | |
Artjom Grudnitsky, Lars Bauer, Jörg Henkel COREFAB: Concurrent Reconfigurable Fabric Utilization in Heterogeneous Multi-Core Systems in: International Conference on Hardware - Software Codesign and System Synthesis (CODES+ISSS), New Delhi, India, DOI, PDF, Oct 12-17 2014. BestPaper Nomination | |
Martin Haaß, Lars Bauer, Jörg Henkel Automatic Custom Instruction Identification in Memory Streaming Algorithms in: International Conference on Hardware - Software Codesign and System Synthesis (CODES+ISSS), New Delhi, India, DOI, PDF, Oct 12-17 2014. | |
Chih-Ming Hsieh, Farzad Samie, M. Sammer Srouji, Manyi Wang, Zhonglei Wang, Jörg Henkel Hardware/Software Co-design for A Wireless Sensor Network Platform in: International Conference on Hardware - Software Codesign and System Synthesis (CODES+ISSS), New Delhi, India, DOI, PDF, Oct 12-17 2014. | |
Santiago Pagani, Heba Khdr, Waqaas Munawar, Jian-Jia Chen, Muhammad Shafique, Minming Li, Jörg Henkel TSP: Thermal Safe Power - Efficient power budgeting for Many-Core Systems in Dark Silicon in: International Conference on Hardware - Software Codesign and System Synthesis (CODES+ISSS), New Delhi, India, DOI, PDF, Oct 12-17 2014. Best Paper Award | |
Muhammad Shafique, Siddharth Garg, Tulika Mitra, Sri Parameswaran, Jörg Henkel Dark silicon as a challenge for hardware/software co-design in: International Conference on Hardware - Software Codesign and System Synthesis (CODES+ISSS), New Delhi, India, DOI, PDF, Oct 12-17 2014. | |
Cláudio Machado Diniz, Muhammad Shafique, Sergio Bampi, Jörg Henkel Run-Time Accelerator Binding for Tile-Based Mixed-Grained Reconfigurable Architectures in 24th International Conference on Field Programmable Logic and Applications (FPL), Munich, Germany, DOI, PDF, Sep 2-4 2014. | |
Felipe Sampaio, Muhammad Shafique, Bruno Zatt, Sergio Bampi, Jörg Henkel Content-Driven Memory Pressure Balancing and Video Memory Power Management for Parallel High Efficiency Video Coding in ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED´14), La Jolla, CA, USA, DOI, PDF, Aug 11-13 2014. | |
Daniel Palomino, Muhammad Shafique, Altamiro Susin, Jörg Henkel TONE: Adaptive Temperature Optimization for the Next Generation Video Encoders in ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED´14), La Jolla, CA, USA, DOI, PDF, Aug 11-13 2014. | |
M. Sammer Srouji, Talal Bonny, Jörg Henkel High-speed Encoding/Decoding Technique for Reliable Data Transmission in Wireless Sensor Networks in IEEE 11th International Conference on Sensing, Communication, and Networking (SECON '14), Singapore, DOI, PDF, Jun 30-Jul 3 2014. | |
Haseeb Bokhari, Haris Javaid, Muhammad Shafique, Jörg Henkel, Sri Parameswaran darkNoC: Designing Energy Efficient Network-on-Chip with Multi-Vt Cells for Dark Silicon in IEEE/ACM Design Automation Conference (DAC'14), San Francisco, CA, USA, DOI, PDF, Jun 1-5 2014. | |
Fazal Hameed, Lars Bauer, Jörg Henkel Reducing Latency in an SRAM/DRAM Cache Hierarchy via a Novel Tag-Cache Architecture in IEEE/ACM Design Automation Conference (DAC'14), San Francisco, CA, USA, DOI, PDF, Jun 1-5 2014. | |
Jan Heisswolf, Aurang Zaib, Andreas Zwinkau, Sebastian Kobbe, Andreas Weichslgartner, Jürgen Teich, Jörg Henkel, Gregor Snelting, Andreas Herkersdorf, Jürgen Becker CAP: Communication Aware Programming in IEEE/ACM Design Automation Conference (DAC'14), San Francisco, CA, USA, DOI, PDF, Jun 1-5 2014. | |
Jörg Henkel, Lars Bauer, Hongyan Zhang, Semeen Rehman, Muhammad Shafique Multi-Layer Dependability: From Microarchitecture to Application Level (invited) in IEEE/ACM Design Automation Conference (DAC'14), San Francisco, CA, USA, DOI, PDF, Jun 1-5 2014. | |
Florian Kriebel, Semeen Rehman, Duo Sun, Muhammad Shafique, Jörg Henkel ASER: Adaptive Soft Error Resilience for Reliability-Heterogeneous Processors in the Dark Silicon Era in IEEE/ACM Design Automation Conference (DAC'14), San Francisco, CA, USA, DOI, PDF, Jun 1-5 2014. | |
Semeen Rehman, Florian Kriebel, Duo Sun, Muhammad Shafique, Jörg Henkel dTune: Leveraging Reliable Code Generation for Adaptive Dependability Tuning under Process Variation and Aging-Induced Effects in IEEE/ACM Design Automation Conference (DAC'14), San Francisco, CA, USA, DOI, PDF, Jun 1-5 2014. | |
Muhammad Shafique, Siddharth Garg, Diana Marculescu, Jörg Henkel The EDA Challenges in the Dark Silicon Era: Temperature, Reliability, and Variability Perspectives in IEEE/ACM Design Automation Conference (DAC'14), San Francisco, CA, USA, DOI, PDF, Jun 1-5 2014. | |
Hongyan Zhang, Michael Kochte, Michael Imhof, Lars Bauer, Hans-Joachim Wunderlich, Jörg Henkel GUARD: GUAranteed Reliability in Dynamically Reconfigurable Systems in IEEE/ACM Design Automation Conference (DAC'14), San Francisco, CA, USA, DOI, PDF, Jun 1-5 2014. | |
Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel Software Architecture of High Efficiency Video Coding for Many-Core Systems with Power-Efficient Workload Balancing in IEEE/ACM 17th Design Automation and Test in Europe Conference (DATE´14), Dresden, Germany, DOI, PDF, Mar 24-28 2014. | |
Heba Khdr, Thomas Ebi, Muhammad Shafique, Hussam Amrouch, Jörg Henkel mDTM: Multi-Objective Dynamic Thermal Management for On-Chip Systems in IEEE/ACM 17th Design Automation and Test in Europe Conference (DATE´14), Dresden, Germany, DOI, PDF, Mar 24-28 2014. | |
Daniel Palomino, Muhammad Shafique, Hussam Amrouch, Altamiro Susin, Jörg Henkel hevcDTM: Application-Driven Dynamic Thermal Management for High Efficiency Video Coding in IEEE/ACM 17th Design Automation and Test in Europe Conference (DATE´14), Dresden, Germany, DOI, PDF, Mar 24-28 2014. | |
Semeen Rehman, Florian Kriebel, Muhammad Shafique, Jörg Henkel Compiler-Driven Dynamic Reliability Management for On-Chip Systems under Variabilities in IEEE/ACM 17th Design Automation and Test in Europe Conference (DATE´14), Dresden, Germany, DOI, PDF, Mar 24-28 2014. | |
Felipe Sampaio, Muhammad Shafique, Bruno Zatt, Sergio Bampi, Jörg Henkel dSVM: Energy-Efficient Distributed Scratchpad Video Memory Architecture for the Next-Generation High Efficiency Video Coding in IEEE/ACM 17th Design Automation and Test in Europe Conference (DATE´14), Dresden, Germany, DOI, PDF, Mar 24-28 2014. | |
Kartikeya Bhardwaj, Pravin S. Mane, Jörg Henkel Power- and area-efficient Approximate Wallace Tree Multiplier for error-resilient systems in Fifteenth International Symposium on Quality Electronic Design, ISQED 2014, Santa Clara, CA, USA, DOI, PDF, Mar 3-5 2014. | |
Artjom Grudnitsky, Lars Bauer, Jörg Henkel MORP: Makespan Optimization for Processors with an Embedded Reconfigurable Fabric in 22nd ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA), Monterey, California, USA, DOI, PDF, Feb 26-28 2014. | |
Muhammad Shafique, Jörg Henkel Low Power Design of the Next-Generation High Efficiency Video Coding (invited) in 19th Asia and South Pacific Design Automation Conference (ASP-DAC´14), Singapore, DOI, PDF, Jan 20-23 2014. |
Poster | |
---|---|
Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel Application-Specific Hierarchical Power Management for Multicast High Efficiency Video Coding in Designer Track, 51st ACM/EDA/IEEE Design Automation Conference (DAC´14), San Francisco, CA, USA, Jun 1-5 2014. Best Poster Award |
Workshop | |
---|---|
Syed Ali Asadullah Bukhari, Faiq Khalid Lodhi, Osman Hasan, Muhammad Shafique, Jörg Henkel Formal Verification of Distributed Task Migration for Thermal Management in On-chip Multi-core Systems using nuXmv in Third International Workshop on Formal Techniques for Safety-Critical Systems (FTSCS'14), Luxembourg, DOI, PDF, Nov 6-7 2014. |
PhD Thesis | |
---|---|
Ebi, Thomas Thermal Management for Dependable On-Chip Systems Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2014. | |
Jahn, Janmartin Resource Allocation for Software Pipelines in Many-core Systems Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2014. |
Year 2013
Journals | |
---|---|
Bruno Boessio Vizzotto, Bruno Zatt, Muhammad Shafique, Sergio Bampi, Jörg Henkel Model Predictive Hierarchical Rate Control with Markov Decision Process for Multiview Video Coding in IEEE Transactions on Circuits and Systems for Video Technology (TCSVT) (Volume 23, Issue 12), DOI, PDF, Dec 2013. | |
Lars Bauer, Claus Braun, Michael E. Imhof, Michael A. Kochte, Eric Schneider, Hongyan Zhang, Jörg Henkel, Hans-Joachim Wunderlich Test Strategies for Reliable Runtime Reconfigurable Architectures in IEEE Transactions on Computers (Volume 62, Issue 8), DOI, PDF, Aug 2013. |
Conferences | |
---|---|
Daniel Palomino, Eduardo Cavichioli, Luciano Agostini, Muhammad Shafique, Jörg Henkel, Altamiro Susin Fast HEVC Intra Mode Decision Algorithm Based on New Evaluation Order in the Coding Tree Block in 30th Picture Coding Symposium (PCS´13), San Jose, CA, USA, DOI, PDF, Dec 8-11 2013. | |
Rana Muhammad Bilal, Rehan Hafiz, Muhammad Shafique, Saad Shoaib, Asim Munawar, Jörg Henkel ISOMER: Integrated Selection, Partitioning and Placement Methodology for Reconfigurable Architectures in IEEE/ACM International Conference on Computer-Aided Design (ICCAD'13), San Jose, CA, USA, DOI, PDF, Nov 18-21 2013. | |
Muhammad Ismail, Osman Hasan, Thomas Ebi, Muhammad Shafique, Jörg Henkel Formal Verification of Distributed Dynamic Thermal Management in IEEE/ACM International Conference on Computer-Aided Design (ICCAD'13), San Jose, CA, USA, DOI, PDF, Nov 18-21 2013. | |
Janmartin Jahn, Santiago Pagani, Jian-Jia Chen, Jörg Henkel MOMA: Mapping of Memory-intensive Software-pipelined Applications for Systems with Multiple Memory Controllers in IEEE/ACM International Conference on Computer-Aided Design (ICCAD'13), San Jose, CA, USA, DOI, PDF, Nov 18-21 2013. | |
Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel AMBER: Adaptive Energy Management for On-Chip Hybrid Video Memories in IEEE/ACM International Conference on Computer-Aided Design (ICCAD'13), San Jose, CA, USA, DOI, PDF, Nov 18-21 2013. | |
Tuo Li, Muhammad Shafique, Semeen Rehman, Jude Angelo Ambrose, Jörg Henkel, Sri Parameswaran DHASER: Dynamic Heterogeneous Adaptation for Soft-Error Resiliency in ASIP-based Multi-core Systems in IEEE/ACM International Conference on Computer-Aided Design (ICCAD'13), San Jose, CA, USA, DOI, PDF, Nov 18-21 2013. | |
Muhammad Shafique, Jörg Henkel Agent-Based Distributed Power Management for Kilo-Core Processors (invited) in IEEE/ACM International Conference on Computer-Aided Design (ICCAD'13), San Jose, CA, USA, DOI, PDF, Nov 18-21 2013. | |
Fazal Hameed, Lars Bauer, Jörg Henkel Reducing Inter-Core Cache Contention with an Adaptive Bank Mapping Policy in DRAM Cache in IEEE International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'13), Montreal, Canada, DOI, PDF, Sep 29-Oct 4 2013. Best Paper Nomination | |
Fazal Hameed, Lars Bauer, Jörg Henkel Simultaneously Optimizing DRAM Cache Hit Latency and Miss Rate via Novel Set Mapping Policies in International Conference on Compilers Architecture and Synthesis for Embedded Systems (CASES'13), Montreal, Canada, DOI, PDF, Sep 29-Oct 4 2013. | |
Manuel Mohr, Artjom Grudnitsky, Tobias Modschiedler, Lars Bauer, Sebastian Hack, Jörg Henkel Hardware Acceleration for Programs in SSA Form in International Conference on Compilers Architecture and Synthesis for Embedded Systems (CASES'13), Montreal, Canada, DOI, PDF, Sep 29-Oct 4 2013. | |
Jörg Henkel, Vijaykrishnan Narayanan, Sri Parameswaran, Jürgen Teich Run-time adaption for highly-complex multi-core systems in International Conference on Compilers Architecture and Synthesis for Embedded Systems (CASES'13), Montreal, Canada, DOI, PDF, Sep 29-Oct 4 2013. | |
Cláudio Machado Diniz, Muhammad Shafique, Sergio Bampi, Jörg Henkel High-Throughput Interpolation Hardware Architecture with Coarse-Grained Reconfigurable Datapaths for HEVC in 20th IEEE International Conference on Image Processing (ICIP), Melbourne, Australia, DOI, PDF, Sep 15-18 2013. | |
Mateus Grellert, Muhammad Shafique, Muhammad Usman Karim Khan, Luciano Agostini, Julio C. B. Mattos, Jörg Henkel An Adaptive Workload Management Scheme for HEVC in 20th IEEE International Conference on Image Processing (ICIP), Melbourne, Australia, DOI, PDF, Sep 15-18 2013. | |
Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel An Adaptive Complexity Reduction Scheme with Fast Prediction Unit Decision for HEVC Intra Encoding in 20th IEEE International Conference on Image Processing (ICIP), Melbourne, Australia, DOI, PDF, Sep 15-18 2013. | |
Felipe Sampaio, Bruno Zatt, Muhammad Shafique, Luciano Agostini, Jörg Henkel, Sergio Bampi Content-Adaptive Reference Frame Compression Based On Intra-Frame Prediction for Multiview Video Coding in 20th IEEE International Conference on Image Processing (ICIP), Melbourne, Australia, DOI, PDF, Sep 15-18 2013. | |
Hongyan Zhang, Lars Bauer, Michael A. Kochte, Eric Schneider, Claus Braun, Michael E. Imhof, Hans-Joachim Wunderlich, Jörg Henkel Module Diversification: Fault Tolerance and Aging Mitigation for Runtime Reconfigurable Architectures in 2013 IEEE International Test Conference (ITC), Anaheim, California, USA, DOI, PDF, Sep 10-12 2013. | |
Muhammad Shafique, Muhammad Usman Karim Khan, Jörg Henkel Content-Driven Adaptive Computation Offloading for Energy-Aware Hybrid Distributed Video Coding in International Symposium on Low Power Electronics and Design (ISLPED'13), Beijing, China, DOI, PDF, Sep 4-6 2013. | |
Hussam Amrouch, Thomas Ebi, Josef Schneider, Sridevan Parameswaran, Jörg Henkel Analyzing the Thermal Hotspots in FPGA-based Embedded Systems in 23rd International Conference on Field Programmable Logic and Applications (FPL), Porto, Portugal, DOI, PDF, Sep 2-4 2013. | |
Hussam Amrouch, Thomas Ebi, Jörg Henkel Stress Balancing to Mitigate NBTI Effects in Register Files in The 43rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN'13), Budapest, Hungary, DOI, PDF, Jun 24-27 2013. | |
Jörg Henkel, Lars Bauer, Nikil Dutt, Puneet Gupta, Sani Nassif, Muhammad Shafique, Mehdi Tahoori, Norbert Wehn Reliable On-Chip Systems in the Nano-Era: Lessons Learnt and Future Trends in IEEE/ACM Design Automation Conference (DAC), Austin, TX, USA, DOI, PDF, Jun 2-6 2013. | |
Janmartin Jahn, Santiago Pagani, Sebastian Kobbe, Jian-Jia Chen, Jörg Henkel Optimizations for Configuring and Mapping Software Pipelines in Many Core Systems in IEEE/ACM Design Automation Conference (DAC), Austin, TX, USA, DOI, PDF, Jun 2-6 2013. | |
Tuo Li, Muhammad Shafique, Jude Angelo Ambrose, Semeen Rehman, Jörg Henkel, Sri Parameswaran RASTER: Runtime Adaptive Spatial/Temporal Error Resiliency for Embedded Processors in IEEE/ACM Design Automation Conference (DAC), Austin, TX, USA, DOI, PDF, Jun 2-6 2013. | |
Muhammad Shafique, Semeen Rehman, Pau Vilimelis Aceituno, Jörg Henkel Exploiting Program-Level Masking and Error Propagation for Constrained Reliability Optimization in IEEE/ACM Design Automation Conference (DAC), Austin, TX, USA, DOI, PDF, Jun 2-6 2013. | |
Amit Singh, Muhammad Shafique, Akash Kumar, Jörg Henkel Mapping on Multi/Many Core Systems: Survey of Current and Emerging Trends in IEEE/ACM Design Automation Conference (DAC), Austin, TX, USA, DOI, PDF, Jun 2-6 2013. | |
Abdulazim Amouri, Hussam Amrouch, Thomas Ebi, Jörg Henkel and Mehdi Tahoori Accurate Thermal-Profile Estimation and Validation for FPGA-Mapped Circuits in The 21st IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM'13), Seattle, Washington, USA, DOI, PDF, Apr 28-30 2013. | |
Semeen Rehman, Anas Toma, Florian Kriebel, Muhammad Shafique, Jian-Jia Chen, Jörg Henkel Reliable Code Generation and Execution on Unreliable Hardware under Joint Functional and Timing Reliability Considerations in 19th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS), Philadelphia, USA, DOI, PDF, Apr 9 2013. | |
Fazal Hameed, Lars Bauer, Jörg Henkel Adaptive Cache Management for a combined SRAM and DRAM Cache Hierarchy for Multi-Cores in IEEE/ACM 16th Design Automation and Test in Europe Conference (DATE´13), Grenoble, France, DOI, PDF, Mar 18-22 2013. | |
Chih-Ming Hsieh, Zhonglei Wang, Jörg Henkel DANCE: Distributed Application-aware Node Configuration Engine in Shared Reconfigurable Sensor Networks in IEEE/ACM 16th Design Automation and Test in Europe Conference (DATE´13), Grenoble, France, DOI, PDF, Mar 18-22 2013. | |
Janmartin Jahn, Jörg Henkel Pipelets: Self-Organizing Software Pipelines for Many Core Systems in IEEE/ACM 16th Design Automation and Test in Europe Conference (DATE´13), Grenoble, France, DOI, PDF, Mar 18-22 2013. | |
Muhammad Usman Karim Khan, Jan Micha Borrmann, Lars Bauer, Muhammad Shafique, Jörg Henkel An H.264 Quad-FullHD Low-Latency Intra Video Encoder in IEEE/ACM 16th Design Automation and Test in Europe Conference (DATE´13), Grenoble, France, DOI, PDF, Mar 18-22 2013. | |
Muhammad Usman Karim Khan, Muhammad Shafique, Mateus Grellert da Silva, Jörg Henkel Hardware-Software Collaborative Complexity Reduction Scheme for the Emerging HEVC Intra Encoder in IEEE/ACM 16th Design Automation and Test in Europe Conference (DATE´13), Grenoble, France, DOI, PDF, Mar 18-22 2013. | |
Tuo Li, Muhammad Shafique, Semeen Rehman, Swarnalatha Radhakrishnan, Roshan Ragel, Jude Angelo Ambrose, Jörg Henkel, Sri Parameswaran CSER: HW/SW Configurable Soft-Error Resiliency for Application Specific Instruction-Set Processors in IEEE/ACM 16th Design Automation and Test in Europe Conference (DATE´13), Grenoble, France, DOI, PDF, Mar 18-22 2013. | |
Semeen Rehman, Muhammad Shafique, Pau Vilimelis Aceituno, Florian Kriebel, Jian-Jia Chen, Jörg Henkel Leveraging Variable Function Resilience for Selective Software Reliability on Unreliable Hardware in IEEE/ACM 16th Design Automation and Test in Europe Conference (DATE´13), Grenoble, France, DOI, PDF, Mar 18-22 2013. | |
Felipe Sampaio, Bruno Zatt, Muhammad Shafique, Luciano Agostini, Sergio Bampi, Jörg Henkel Energy-Efficient Memory Hierarchy for Motion and Disparity Estimation in Multiview Video Coding in IEEE/ACM 16th Design Automation and Test in Europe Conference (DATE´13), Grenoble, France, DOI, PDF, Mar 18-22 2013. | |
Muhammad Shafique, Benjamin Vogel, Jörg Henkel Self-Adaptive Hybrid Dynamic Power Management for Many-Core Systems in IEEE/ACM 16th Design Automation and Test in Europe Conference (DATE´13), Grenoble, France, DOI, PDF, Mar 18-22 2013. | |
Zhonglei Wang, Jörg Henkel Fast and accurate data cache modeling in source-level simulation of embedded software in IEEE/ACM 16th Design Automation and Test in Europe Conference (DATE´13), Grenoble, France, DOI, PDF, Mar 18-22 2013. | |
Jörg Henkel, Thomas Ebi, Hussam Amrouch, Heba Khdr Thermal Management for Dependable on-chip Systems in 18th Asia and South Pacific Design Automation Conference (ASP-DAC’13), Yokohama, Japan, DOI, PDF, Jan 22-25 2013. |
Editorials | |
---|---|
Todor Stefanov, Maurizio Palesi, Jian-Jia Chen, Jörg Henkel Message from the chairs in The 11th IEEE Symposium on Embedded Systems for Real-time Multimedia (ESTImedia2013) , Montreal, QC, Canada, DOI, PDF, Sep 29-Oct 4 2013. | |
Jian-Jia Chen, Jörg Henkel, Xiaobo Sharon Hu Guest Editorial Special Section on Power-Aware Design for Embedded Systems in IEEE Trans. Industrial Informatics (Volume 9, Issue 1), DOI, PDF, Feb 2013. |
Books / Book Chapter | |
---|---|
Bruno Zatt, Muhammad Shafique, Sergio Bampi, Jörg Henkel 3D Video Coding for Embedded Devices - Energy Efficient Algorithms and Architectures Springer Science+Business Media, LLC, DOI, 2013. ISBN 978-1-4614-6758-8 |
Workshop | |
---|---|
Jörg Henkel Embedded on-chip reliability: it's a thermal challenge in International Workshop on Software and Compilers for Embedded Systems, M-SCOPES '13, Sankt Goar, Germany, DOI, Jun 19-21 2013. | |
Janmartin Jahn, Sebastian Kobbe, Santiago Pagani, Jian-Jia Chen, Jörg Henkel Runtime resource allocation for software pipelines in International Workshop on Software and Compilers for Embedded Systems, M-SCOPES '13, Sankt Goar, Germany, DOI, PDF, Jun 19-21 2013. | |
Andreas Herkersdorf, Michael Engel, Michael Glaß, Jörg Henkel, Veit B. Kleeberger, Michael A. Kochte, Johannes M. Kühn, Sani R. Nassif, Holm Rauchfuss, Wolfgang Rosenstiel, Ulf Schlichtmann, Muhammad Shafique, Mehdi B. Tahoori, Jürgen Teich, Nobert Wehn, Christian Weis, Hans-Joachim Wunderlich Cross-Layer Dependability Modeling and Abstraction in System on Chip in The 9th Workshop on Silicon Errors in Logic - System Effects (SELSE), Stanford, USA, Mar 26-27 2013. |
Year 2012
Journals | |
---|---|
Al Faruque, M.A.; Ebi, T.; Henkel, J. AdNoC: Runtime Adaptive Network-on-Chip Architecture in IEEE Transaction on Very Large Scale Integration Systems (Volume 20, Issue 2), DOI, PDF, Feb 2012. |
Conferences | |
---|---|
Hsieh, C.; Wang, Z.; Henkel, J. A Reconfigurable Hardware Accelerated Platform for Clustered Wireless Sensor Networks in IEEE 18th International Conference on Parallel and Distributed Systems (ICPADS 2012), Singapore, Singapore, DOI, PDF, Dec 17-19 2012. | |
Ebi, T.; Amrouch, H.; Henkel, J. COOL: Control-based Optimization Of Load-balancing for Thermal Behavior in IEEE International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'12), Tampere, Finland, DOI, PDF, Oct 7-12 2012. | |
Khan, M. U. K.; Shafique, M.; Henkel, J. A Hierarchical Control Scheme for Energy Quota Distribution in Hybrid Distributed Video Coding in IEEE International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'12), Tampere, Finland, DOI, PDF, Oct 7-12 2012. | |
Wang, Z.; Henkel, J. HyCoS: Hybrid Compiled Simulation of Embedded Software with Target Dependent Code in IEEE International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'12), Tampere, Finland, DOI, PDF, Oct 7-12 2012. | |
Jahn, J.; Kobbe, S.; Pagani, S.; Chen, J.; Henkel, J. Work in Progress: Malleable Software Pipelines for Efficient Many-core System Utilization in Proceedings of the 6th Many-core Applications Research Community (MARC) Symposium, Toulouse, France, DOI, PDF, Jul 19-20 2012. | |
Michael Hübner, Diana Göhringer, Carsten Tradowsky, Jörg Henkel, Jürgen Becker: Adaptive processor architecture (invited) in 2012 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, SAMOS XII, Samos, Greece, DOI, PDF, Jul 16-19 2012. | |
Vizzotto, B.; Zatt, B.; Shafique, M.; Bampi, S.; Henkel, J. A Model Predictive Controller for Frame-Level Rate Control in Multiview Video Coding in IEEE International Conference on Multimedia and Expo (ICME'12), Melbourne, Australia, DOI, PDF, Jul 9-13 2012. | |
Feng, J.; Wang, Z.; Henkel, J. An Adaptive Data Gathering Strategy for Target Tracking in Cluster-based Wireless Sensor Networks in the 17th IEEE symposium on Computers and Communications (ISCC´12), Cappadocia, Turkey, DOI, PDF, Jul 1-4 2012. | |
Abdelfattah, M.; Bauer, L.; Braun, C.; Imhof, M. E.; Kochte, M. A.; Zhang, H.; Henkel, J.; Wunderlich, H.-J. Transparent Structural Online Test for Reconfigurable Systems in IEEE International On-Line Testing Symposium (IOLTS'12), Sitges, Spain, DOI, PDF, Jun 2012. | |
Bauer, L.; Braun, C.; Imhof, M. E.; Kochte, M. A.; Zhang, H.; Wunderlich, H.-J.; Henkel, J. OTERA: Online Test Strategies for Reliable Reconfigurable Architectures in NASA/ESA Conference on Adaptive Hardware and Systems (AHS´12), Nuremberg, Germany, DOI, PDF, Jun 2012. | |
Rehman, S.; Shafique, M.; Henkel, J. Instruction Scheduling for Reliability-Aware Compilation in 49th ACM/EDA/IEEE Design Automation Conference (DAC´12), San Francisco, CA, USA, DOI, PDF, Jun 2012. | |
Shafique, M.; Zatt, B.; Walter, F.L.; Bampi, S.; Henkel, J. Adaptive Power Management of On-Chip Video Memory for Multiview Video Coding in 49th ACM/EDA/IEEE Design Automation Conference (DAC´12), San Francisco, CA, USA, DOI, PDF, Jun 2012. | |
Jörg Henkel, Oliver Bringmann, Andreas Herkersdorf, Wolfgang Rosenstiel, Norbert Wehn Dependable embedded systems: The German research foundation DFG priority program SPP 1500 in 17th IEEE European Test Symposium, ETS 2012, Annecy, France, DOI, PDF, May 28 - Jun 1 2012. | |
Shafique, M.; Zatt, B.; Henkel, J. A Complexity Reduction Scheme with Adaptive Search Direction and Mode Elimination for Multiview Video Coding in 29th Picture Coding Symposium (PCS´12), Kraków, Poland, DOI, PDF, May 2012. | |
Bauer, L.; Grudnitsky, A.; Shafique, M.; Henkel, J. PATS: a Performance Aware Task Scheduler for Runtime Reconfigurable Processors in 20th Annual International IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM'12), Toronto, Canada, DOI, PDF, Apr 2012. | |
Hsieh, C.; Wang, Z.; Henkel, J. ECO/ee: Energy-aware Collaborative Organic Execution Environment for Wireless Sensor Networks in IEEE Wireless Communications and Networking Conference (WCNC´12), Paris, France, DOI, PDF, Apr 2012. | |
Henkel, J.; Herkersdorf, A.; Bauer, L.; Wild, T.; Hübner, M; Pujari, R.K.; Grudnitsky, A.; Heisswolf, J.; Zaib, A.; Vogel, B.; Lari, V.; Kobbe, S.: Invasive Manycore Architectures in 17th Asia and South Pacific Design Automation Conference (ASP-DAC'12), Sydney, Australia, DOI, PDF, Jan 2012. | |
Rehman, S.; Shafique, M.; Kriebel, F.; Henkel, J. RAISE: Reliability-Aware Instruction Scheduling for Unreliable Hardware in 17th Asia and South Pacific Design Automation Conference (ASP-DAC'12), Sydney, Australia, DOI, PDF, Jan 2012. | |
Grudnitsky, A.; Bauer, L.; Henkel, J. Partial Online-Synthesis for Mixed-Grained Reconfigurable Architectures in IEEE/ACM 15th Design Automation and Test in Europe Conference (DATE´12), Dresden, Germany, DOI, PDF, Mar 2012. | |
Hameed, F.; Bauer, L.; Henkel, J. Dynamic Cache Management in Multi-Core Architectures through Run-time Adaptation in IEEE/ACM 15th Design Automation and Test in Europe Conference (DATE´12), Dresden, Germany, DOI, PDF, Mar 2012. | |
Shafique, M.; Zatt, B.; Rehman, S.; Kriebel, F.; Henkel, J. Power-Efficient Error-Resiliency for H.264/AVC Context-Adaptive Variable Length Coding in IEEE/ACM 15th Design Automation and Test in Europe Conference (DATE´12), Dresden, Germany, DOI, PDF, Mar 2012. | |
Wang, Z.; Henkel, J. Accurate Source-Level Simulation of Embedded Software with Respect to Compiler Optimizations in IEEE/ACM 15th Design Automation and Test in Europe Conference (DATE´12), Dresden, Germany, DOI, PDF, Mar 2012. |
Year 2011
Conferences | |
---|---|
Srouji, M.S.; Wang, Z.; Henkel, J. RDTS: A Reliable Erasure-Coding Based Data Transfer Scheme for Wireless Sensor Networks in IEEE International Conference on Parallel and Distributed Systems (ICPADS'11), Tainan, Taiwan, DOI, PDF, Dec 2011. | |
Huebner, M.; Tradowsky, C.; Goehringer, D.; Braun, L.; Thoma, F.; Henkel, J.; Becker, J. Dynamic processor reconfiguration in IEEE International Conference on ReConFigurable Computing and FPGAs (ReConFig'11), Cancun, Mexico, DOI, PDF, Nov 2011. | |
Javaid, H.; Shafique, M.; Henkel, J.; Parameswaran, S. System-Level Application-Aware Dynamic Power Management in Adaptive Pipelined MPSoCs for Multimedia in IEEE/ACM International Conference on Computer-Aided Design (ICCAD'11), San Jose, CA, USA, DOI, PDF, Nov 2011. | |
Zatt, B.; Shafique, M.; Bampi, S.; Henkel, J. A Low-Power Memory Architecture with Application-Aware Power Management for Motion & Disparity Estimation in Multiview Video Coding in IEEE/ACM International Conference on Computer-Aided Design (ICCAD'11), San Jose, CA, USA, DOI, PDF, Nov 2011. | |
Ahmed, W.; Shafique, M.; Bauer, L.; Henkel, J. Adaptive Resource Management for Simultaneous Multitasking in Mixed-Grained Reconfigurable Multi-core Processors in IEEE International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'11), Taipei, Taiwan, DOI, PDF, Oct 2011. | |
Ebi, T.; Kramer, D.; Karl, W.; Henkel, J. Economic Learning for Thermal-aware Power Budgeting in Many-core Architectures in IEEE International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'11), Taipei, Taiwan, DOI, PDF, Oct 2011. | |
Henkel, J.; Bauer, L.; Becker, J.; Bringmann, O.; Brinkschulte, U.; Chakraborty, S.; Engel, M.; Ernst, R.; Härtig, H.; Hedrich, L.; Herkersdorf, A.; Kapitza, R.; Lohmann, D.; Marwedel, P.; Platzner, M.; Rosenstiel, W.; Schlichtmann, U.; Spinczyk, O.; Tahoori, M.B.; Teich, J.; Wehn, N.; Wunderlich, H.J. Design and architectures for dependable embedded systems in IEEE International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'11), Taipei, Taiwan, DOI, PDF, Oct 2011. | |
Kobbe, S.; Bauer, L.; Henkel, J.; Lohmann, D.; Schröder-Preikschat, W. DistRM: Distributed Resource Management for On-Chip Many-Core Systems in IEEE International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'11), Taipei, Taiwan, DOI, PDF, Oct 2011. | |
Rehman, S.; Shafique, M.; Kriebel, F.; Henkel, J. Reliable Software for Unreliable Hardware: Embedded Code Generation aiming at Reliability in IEEE International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'11), Taipei, Taiwan, DOI, PDF, Oct 2011. Best Paper Award | |
Ebi, T.; Rauchfuss, H.; Herkersdorf, A.; Henkel, J. Agent-based Thermal Management using Real-Time I/O Communication Relocation for 3D Many-Cores" in International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS) 2011, Madrid, Spain, DOI, PDF, Sep 2011. | |
Rehman, S.; Shafique, M.; Kriebel, F.; Henkel, J. ReVC: Computationally Reliable Video Coding on Unreliable Hardware Platforms: A Case Study on Error-Tolerant H.264/AVC CAVLC Entropy Coding in IEEE 18th International Conference on Image Processing (ICIP´11), Brussels, Belgium, DOI, PDF, Sep 2011. | |
Shafique, M.; Tüfek, A.O.; Henkel, J. A High-Throughput Parallel Hardware Architecture for H.264/AVC CAVLC Encoding in IEEE 18th International Conference on Image Processing (ICIP´11), Brussels, Belgium, DOI, PDF, Sep 2011. | |
Zatt, B.; Shafique, M.; Bampi, S.; Henkel, J. A Multi-Level Dynamic Complexity Reduction Scheme for Multiview Video Coding in IEEE 18th International Conference on Image Processing (ICIP´11), Brussels, Belgium, DOI, PDF, Sep 2011. | |
Henkel, J.; Bauer, L.; Hübner, M.; Grudnitsky, A. i-Core: A run-time adaptive processor for embedded multi-core systems (invited) in International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA'11), Las Vegas, Nevada, USA, Jul 2011. | |
Bauer, L.; Shafique, M.; Henkel, J. Concepts, Architectures, and Run-time Systems for Efficient and Adaptive Reconfigurable Processors in NASA/ESA 6th Conference on Adaptive Hardware and Systems (AHS´11), San Diego, CA, USA (invited), DOI, PDF, Jun 2011. Best Paper Award | |
Figuli, P.; Huebner, M.; Girardey, R.; Bapp, F.; Bruckschlögl, T.; Thoma, F.; Henkel, J.; Becker, J. A heterogeneous SoC architecture with embedded virtual FPGA cores and runtime core fusion (invited) in NASA/ESA 6th Conference on Adaptive Hardware and Systems (AHS´11), San Diego, CA, USA, DOI, PDF, Jun 2011. | |
Iqbal, N.; Siddique, M.A.; Henkel, J. SEAL: Soft Error Aware Low Power Scheduling by Monte Carlo State Space Under the Influence of Stochastic Spatial and Temporal Dependencies in 48th ACM/EDA/IEEE Design Automation Conference (DAC´11), San Diego, CA, USA, DOI, PDF, Jun 2011. | |
Javed, H.; Shafique, M.; Parameswaran, S.; Henkel, J. Low-Power Adaptive Pipelined MPSoCs for Multimedia: An H.264 Video Encoder Case Study in 48th ACM/EDA/IEEE Design Automation Conference (DAC´11), San Diego, CA, USA, DOI, PDF, Jun 2011. | |
Zatt, B.; Shafique, M.; Sampaio, F.; Agostini, L.; Bampi, S.; Henkel, J. Run-Time Adaptive Energy-Aware Motion and Disparity Estimation in Multiview Video Coding in 48th ACM/EDA/IEEE Design Automation Conference (DAC´11), San Diego, CA, USA, DOI, PDF, Jun 2011. | |
Ahmed, W.; Shafique, M.; Bauer, L.; Hammerich, M.; Henkel, J.; Becker, J. Run-Time Resource Allocation for Simultaneous Multi-Tasking in Multi-Core Reconfigurable Processors in IEEE 19th Symposium on Field-Programmable Custom Computing Machines (FCCM´11), Salt Lake City, Utah, USA, DOI, PDF, May 2011. | |
Ahmed, W.; Shafique, M.; Bauer, L.; Henkel, J. mRTS: Run-Time System for Reconfigurable Processors with Multi-Grained Instruction-Set Extensions in IEEE/ACM 14th Design Automation and Test in Europe Conference (DATE´11), Grenoble, France, DOI, PDF, Mar 2011. | |
Hameed, F.; Al Faruque, M.A.; Henkel, J. Dynamic Thermal Management in 3D Multi-Core Architecture through Run-time Adaptation in IEEE/ACM 14th Design Automation and Test in Europe Conference (DATE´11), Grenoble, France, DOI, PDF, Mar 2011. | |
Jahn, J.; Al Faruque, M.A.; Henkel, J. CARAT: Context-Aware Runtime Adaptive Task Migration for Multi Core Architectures in IEEE/ACM 14th Design Automation and Test in Europe Conference (DATE´11), Grenoble, France, DOI, PDF, Mar 2011. | |
Shafique, M.; Bauer, L.; Ahmed, W.; Henkel, J. Minority-Game-based Resource Allocation for Run-Time Reconfigurable Multi-Core Processors in IEEE/ACM 14th Design Automation and Test in Europe Conference (DATE´11), Grenoble, France, DOI, PDF, Mar 2011. | |
Zatt, B.; Shafique, M.; Bampi, S.; Henkel, J. Multi-Level Pipelined Parallel Hardware Architecture for High Throughput Motion and Disparity Estimation in Multiview Video Coding in IEEE/ACM 14th Design Automation and Test in Europe Conference (DATE´11), Grenoble, France, DOI, PDF, Mar 2011. | |
Amrouch, H.; Henkel, J. Self-Immunity Technique to Improve Register File Integrity against Soft Errors in 24th International Conference on VLSI Design (VLSID'11), Chennai, India, DOI, PDF, Jan 2011. |
Books / Book Chapter | |
---|---|
Teich, J.; Henkel, J.; Herkersdorf, A.; Schmitt-Landsiedel, D.; Schröder-Preikschat, W.; Snelting, G. Invasive Computing: An Overview in Multiprocessor System-on-Chip -- Hardware Design and Tool Integration, M. Hübner and J. Becker (Eds.), Springer, DOI, 2011. | |
Ebi, T.; Jahn, J.; Henkel, J. Agent-Based Thermal Management for Multi-core Architectures Organic Computing --- A Paradigm Shift for Complex Systems, Springer Basel, Series "Autonomic Systems" (Volume 1, Part 2), 2011. ISBN 978-3-0348-0130-0 | |
Ebi, T.; Kramer, D.; Schuck, C.; von Renteln, A.; Becker, J.; Brinkschulte, U.; Henkel, J.; Karl, W. DodOrg --- A Self-adaptive Organic Many-core Architectures Organic Computing --- A Paradigm Shift for Complex Systems, Springer Basel, Series "Autonomic Systems" (Volume 1, Part 2), 2011. ISBN 978-3-0348-0130-0 | |
Shafique, M.; Henkel, J. Hardware/Software Architectures for Low-Power Embedded Multimedia Systems Springer Science+Business Media, LLC, 2011. ISBN 978-1-4419-9691-6 | |
Bauer, L.; Henkel, J. Run-time Adaptation for Reconfigurable Embedded Processors Springer Science+Business Media, LLC, DOI, 2011. ISBN 978-1-4419-7411-2 e-ISBN 978-1-4419-7412-9 |
Workshop | |
---|---|
Munawar, W.; Jahn, J.; Aleinikov, A.; Chen, J-J.; Henkel, J. An Empirical Feedback Provider for Multi Core Schedulers in Intel MARC Symposium in Ettlingen, Karlsruhe, Germany, DOI, PDF, Jul 2011. | |
Oechslein, B.; Schedel, J.; Kleinöder, J.; Bauer, L.; Henkel, J.; Lohmann, D.; Schröder-Preikschat, W. OctoPOS: A Parallel Operating System for Invasive Computing in Systems for Future Multi-Core Architectures (SFMA), co-located with EuroSys 2011, Salzburg, Austria, Apr 2011. |
PhD Thesis | |
---|---|
Shafique, Muhammad Architectures for Adaptive Low-Power Embedded Multimedia Systems Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2011. |
Year 2010
Journals | |
---|---|
Chang, N. Henkel, J. Current Trends in Low Power Design (Guest Editorial) in ACM Transactions on Design Automation of Electronic Systems (ACM TODAES) (Volume 16, Issue 1), DOI, PDF, Nov 2010. | |
Al Faruque, M.A.; Jahn, J.; Ebi, T.; Henkel, J. Runtime Thermal Management Using Software Agents for Multi/Many-Core Architectures in IEEE Design & Test (IEEE D&T), Special Issue on Post-Silicon Calibration and Repair for Yield and Reliability Improvement (Volume 27, Issue. 6), DOI, PDF, Nov 2010. | |
Bonny, T.; Henkel, J. Huffman-based code compression technique for embedded processors in ACM Trans. Design Autom. Electr. Syst. (TOADES) (Volume 15, Issue 4), DOI, PDF, Oct 2010. | |
Henkel, J.; Parameswaran, S. CASES 2009 Guest Editorial in Design Automation for Embedded Systems (Springer) (Volume 14, Number 3), DOI, PDF, Sep 2010. | |
Shafique, M.; Bauer, L.; Henkel, J. Optimizing the H.264/AVC Video Encoder Application Structure for Reconfigurable and Application-Specific Platforms in Journal of Signal Processing Systems (JSPS), Special Issue: Embedded Multimedia Systems (Volume 60, Issue 2), DOI, PDF, Aug 2010. | |
Frantz, G.; Henkel, J.; Rabaey, J.; Schneider, T.; Wolf, M.; Batur, U. Ultra-Low Power Signal Processing in IEEE Signal Processing Magazine (Volume 27, Issue 2), DOI, PDF, Mar 2010. | |
Naehyuck Chang, Jörg Henkel Call for papers ACM transactions on design automation of electronic systems (TODAES) special section on low-power electronics and design. in ACM Transactions on Design Automation of Electronic Systems (TODAES), Volume 15 Issue 2, DOI, PDF, Feb 2010. |
Conferences | |
---|---|
Shafique, M.; Zatt, B.; Bampi, S.; Henkel, J. Power-Aware Complexity-Scalable Multiview Video Coding for Mobile Devices in 28th Picture Coding Symposium (PCS´10), Nagoya, Japan, DOI, PDF, Dec 2010. | |
Zatt, B.; Shafique, M.; Bampi, S.; Henkel, J. An Adaptive Early Skip Mode Decision Scheme for Multiview Video Coding in 28th Picture Coding Symposium (PCS´10), Nagoya, Japan, DOI, PDF, Dec 2010. | |
Iqbal, N.; Henkel, J. SETS: Stochastic Execution Time Scheduling for Multicore Systems by Joint State Space and Monte Carlo in IEEE/ACM International Conference on Computer-Aided Design (ICCAD´10), San Jose, CA, USA, DOI, PDF, Nov 2010. | |
Shafique, M.; Bauer, L.; Henkel, J. Selective Instruction Set Muting for Energy-Aware Adaptive Processors in IEEE/ACM International Conference on Computer-Aided Design (ICCAD´10), San Jose, CA, USA, DOI, PDF, Nov 2010. Best Paper Nomination | |
Naehyuck Chang, Jörg Henkel, Andy D. Pimentel, Petru Eles: Message from the chairs. in 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2010, Scottsdale, AZ, USA, Oct 28-29 2010. | |
Ebi, T.; Al Faruque, M.A.; Henkel, J. NeuroNoC: Neural Network Inspired Runtime Adaptation for an On-chip Communication Architecture in IEEE International Conference on Hardware-Software Codesign and System Synthesis (CODES+ISSS'10), Scottsdale, Arizona, AZ, USA, DOI, PDF, Oct 2010. | |
Iqbal, N.; Siddique, M.A.; Henkel, J. RMOT: Recursion in Model Order for Task Execution Time Estimation in a Software Pipeline in IEEE/ACM 13th Design Automation and Test in Europe Conference (DATE´10), Dresden, Germany, DOI, PDF, Mar 2010. | |
Iqbal, N.; Siddique, M.A.; Henkel, J. DAGS: Distribution Agnostic Sequential Monte Carlo Scheme for Task Execution Time Estimation in IEEE/ACM 13th Design Automation and Test in Europe Conference (DATE´10), Dresden, Germany, DOI, PDF, Mar 2010. | |
Koenig, R.; Bauer, L.; Stripf, T.; Shafique, M.; Ahmed, W.; Becker, J.; Henkel, J. KAHRISMA: A Novel Hypermorphic Reconfigurable-Instruction-Set Multi-grained-Array Architecture in IEEE/ACM 13th Design Automation and Test in Europe Conference (DATE´10), Dresden, Germany, DOI, PDF, Mar 2010. | |
Shafique, M.; Bauer, L.; Henkel, J. enBudget: A Run-Time Adaptive Predictive Energy-Budgeting Scheme for Energy-Aware Motion Estimation in H.264/MPEG-4 AVC Video Encoder in IEEE/ACM 13th Design Automation and Test in Europe Conference (DATE´10), Dresden, Germany, DOI, PDF, Mar 2010. | |
Shafique, M.; Molkenthin, B.; Henkel, J. An HVS-based Adaptive Computational Complexity Reduction Scheme for H.264/AVC Video Encoder using Prognostic Early Mode Exclusion in IEEE/ACM 13th Design Automation and Test in Europe Conference (DATE´10), Dresden, Germany, DOI, PDF, Mar 2010. |
PhD Thesis | |
---|---|
Hillenbrand, Dominic A Flexible Design Space Exploration Platform for Wireless Sensor Networks Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2010. |
PhD-Forum | |
---|---|
Al Faruque, M.A.; Henkel, J. Runtime Adaptive System-on-Chip Communication Architecture in EDAA/ACM SIGDA PhD Forum at Design, Automation & Test in Europe Conference (DATE'10), Dresden, Germany, Mar 2010. |
Year 2009
Conferences | |
---|---|
Shafique, M.; Bauer, L.; Henkel, J. TAPE: Thermal-Aware Agent-Based Power Economy for Multi/Many-Core Architectures in IEEE/ACM 27th International Conference on Computer-Aided Design (ICCAD´09), San Jose, CA, USA, DOI, PDF, Nov 2009. Best Paper Award | |
Shafique, M.; Molkenthin, B.; Henkel, J. Non-Linear Rate Control for H.264/AVC Video Encoder with Multiple Picture Types using Image-Statistics and Motion-Based Macroblock Prioritization in 16th IEEE International Conference on Image Processing (ICIP´09), Cairo, Egypt, DOI, PDF, Nov 2009. | |
Bauer, L.; Shafique, M.; Henkel, J. MinDeg: A Performance-guided Replacement Policy for Run-time Reconfigurable Accelerators in IEEE International Conference on Hardware-Software Codesign and System Synthesis (CODES+ISSS´09), Grenoble, France, DOI, PDF, Oct 2009. | |
Bonny, T.; Henkel, J. LICT: Left-uncompressed Instructions Compression Technique to Improve the Decoding Performance of VLIW Processors in 46th ACM/EDA/IEEE Design Automation Conference (DAC´09), San Fransisco CA, USA, DOI, PDF, Jul 2009. | |
Al Faruque, M.A.; Ebi, T.; Henkel, J. Configurable Links for Runtime Adaptive On-chip Communication in IEEE/ACM Design Automation and Test in Europe Conference (DATE’09), Nice, France, DOI, PDF, Apr 2009. | |
Bauer, L.; Shafique, M.; Henkel, J. Cross-Architectural Design Space Exploration Tool for Reconfigurable Processors in IEEE/ACM Design Automation and Test in Europe Conference (DATE’09), Nice, France, DOI, PDF, Apr 2009. | |
Iqbal, N.; Henkel, J. Efficient Constant-time Entropy Decoding for H.264 in IEEE/ACM Design Automation and Test in Europe Conference (DATE’09), Nice, France, DOI, PDF, Apr 2009. | |
Shafique, M.; Bauer, L.; Henkel, J. A Parallel Approach for High Performance Hardware Design of Intra Prediction in H.264/AVC Video Codec in IEEE/ACM Design Automation and Test in Europe Conference (DATE’09), Nice, France, DOI, PDF, Apr 2009. |
Tutorial | |
---|---|
Henkel, J.; Narayanan, V.; Parameswaran, S.; Ragel, R. Security and Dependability of Embedded Systems: Computer Architects’ Perspective Full-Day Tutorial at IEEE VLSI Design Conference, Delhi, DOI, PDF, Jan 8 2009. |
PhD Thesis | |
---|---|
Bauer, Lars RISPP: A Run-time Adaptive Reconfigurable Embedded Processor Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2009. | |
Al Faruque, Mohammad Abdullah Runtime Adaptive System-on-Chip Communication Architecture Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2009. | |
Bonny, Talal Huffman-based Code Compression Techniques for Embedded Systems Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2009. |
PhD-Forum | |
---|---|
Bauer, L.; Shafique, M.; Henkel, J. RISPP: A Run-time Adaptive Reconfigurable Embedded Processor in IEEE 19th International Conference on Field Programmable Logic and Application (FPL´09), Prague, Czech Republic, DOI, PDF, Aug./Sep. 2009. | |
Al Faruque, M.A.; Henkel, J. Runtime Adaptive System-on-Chip Communication Architecture in 12th ACM/SIGDA Ph.D. Forum at 46th ACM/EDA/IEEE Design Automation Conference (DAC´09), San Fransisco CA, USA, Jul 2009. | |
Bauer, L.; Shafique, M.; Henkel, J. RISPP: A Run-time Adaptive Reconfigurable Embedded Processor in 12th ACM/SIGDA Ph.D. Forum at 46th ACM/EDA/IEEE Design Automation Conference (DAC´09), San Fransisco CA, USA, Jul 2009. |
Year 2008
Journals | |
---|---|
Bonny, T.; Henkel, J. Efficient Code Compression for Embedded Processors in IEEE Transactions on Very Large Scale Integration Systems (TVLSI) (Volume 16, Issue 12), DOI, PDF, Dec 2008. | |
Kalla, P.; Hu, X.S.; Henkel, J. A Flexible Framework for Communication Evaluation in SoC Design in SoC Design in: International Journal of Parallel Programming (Volume 36, Number 5), DOI, PDF, Oct 2008. | |
Bauer, L.; Shafique, M.; Henkel, J. Efficient Resource Utilization for an Extensible Processor through Dynamic Instruction Set Adaptation in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), Special Section on Application-Specific Processors (Volume 16, Issue 10), DOI, PDF, Oct 2008. | |
Diana Marculescu, Jörg Henkel Guest Editorial Special Section on Low-Power Electronics and Design. in IEEE Transactions on Very Large Scale Integration (VLSI) Systems (Volume 16, Issue 6), DOI, PDF, Jun 2008. | |
Al Faruque, M.A.; Henkel, J. QoS-Supported On-chip Communication for Multi-Processors in International Journal of Parallel Programming (IJPP '08) (Volume 36, Issue 1), DOI, PDF, Feb 2008. | |
Serpanos, D.; Henkel, J. Dependability and Security Will Change Embedded Computing in IEEE Computer Magazine, DOI, PDF, Jan 2008. |
Conferences | |
---|---|
Al Faruque, M.A.; Ebi, T.; Henkel, J. ROAdNoC: Runtime Observability for an Adaptive Network on Chip Architecture in IEEE/ACM International Conference on Computer-Aided Design (ICCAD'08), San Jose, CA, USA, DOI, PDF, Nov 2008. | |
Bonny, T.; Henkel, J. FBT: Filled Buffer Technique to reduce Code Size for VLIW Processors in IEEE/ACM International Conference on Computer-Aided Design (ICCAD'08), San Jose, CA, USA, DOI, PDF, Nov 2008. | |
Bauer, L.; Shafique, M.; Henkel, J. A Computation- and Communication-Infrastructure for Modular Special Instructions in a Dynamically Reconfigurable Processor in IEEE 18th. International Conference on Field Programmable Logic and Applications (FPL’08), Heidelberg, Germany, DOI, PDF, Sep 2008. | |
Al Faruque, M.A.; Krist, R.; Henkel, J. ADAM: Run-time Agent-based Distributed Application Mapping for on-chip Communication in ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED’08), Bangalore, India, DOI, PDF, Aug 2008. | |
Bauer, L.; Shafique, M.; Henkel, J. Run-time Instruction Set Selection in a Transmutable Embedded Processor in ACM/IEEE/EDA 45th. Design Automation Conference (DAC’08), Anaheim, CA, USA, DOI, PDF, Jun 2008. | |
Al Faruque, M.A.; Henkel, J. Minimizing Virtual Channel Buffer for Routers in On-chip Communication Architectures in IEEE/ACM Design Automation and Test in Europe Conference (DATE’08), Munich, Germany, DOI, PDF, Mar 2008. | |
Bauer, L.; Shafique, M.; Kreutz, S.; Henkel, J. Run-time System for an Extensible Embedded Processor with Dynamic Instruction Set in IEEE/ACM Design Automation and Test in Europe Conference (DATE’08), Munich, Germany, DOI, PDF, Mar 2008. Best Paper Award | |
Bonny, T.; Henkel, J. Instruction Re-encoding Facilitating Dense Embedded Code in IEEE/ACM Design Automation and Test in Europe Conference (DATE’08), Munich, Germany, DOI, PDF, Mar 2008. | |
Hillenbrand, D.; Henkel, J. Block Cache for Embedded Systems in IEEE/ACM 13th Asia and South Pacific Design Automation Conference (ASP-DAC'08), Seoul, Korea, DOI, PDF, Jan 2008. |
Panels | |
---|---|
Collins, E.; Kogel, T.; Topham, N.; Bagherzadeh, N.; Henkel, J. GP vs. ASP: Are ASIPS just a short-term transition in computing? Panel at IEEE Symposium on Application Specific Processors (SASP’08), Anaheim, CA, Moderator: Grant Martin, Jun 2008. | |
Chen, D.; Dutt, N.; Henkel, J.; Kim, K.; Kobayashi, K. Best Ways to Use Billions of Devices on a Chip Panel at IEEE/ACM 13th Asia and South Pacific Design Automation Conference (ASP-DAC’08), Seoul, Korea, Moderator: Grant Martin, Jan 2008. |
Year 2007
Patents | |
---|---|
Lekatsas, H.; Henkel, J.; Chakradhar, S.; Jakkula, V. Compressed memory architecture for embedded systems, US Patent No. 7,302,543, issued November 27 2007. | |
Chakradhar, S.; Henkel, J.; Jakkula, V.; Lekatsas, H.; Murugan, S. Hardware/software platform for rapid prototyping of code compression technologies, US Patent No. 7,203,935 , issued April 10 2007. |
Conferences | |
---|---|
Al Faruque, M.A.; Ebi, T.; Henkel, J. Run-time Adaptive on-chip Communication Scheme in IEEE/ACM International Conference on Computer-Aided Design (ICCAD'07), San Jose, California, USA, DOI, PDF, Nov 2007. | |
Al Faruque, M.A.; Henkel, J. Transaction Specific Virtual Channel Allocation in QoS Supported On-chip Communication in IEEE/ACM 18th. International Conference on Application-specific Systems, Architectures and Processors (ASAP'07), Montreal , Canada, DOI, PDF, Jul 2007. | |
Bauer, L.; Shafique, M.; Teufel, D.; Henkel, J. A Self-Adaptive Extensible Embedded Processor in IEEE/ACM International Conference on Self-Adaptive and Self-Organizing Systems (SASO'07), Boston, MA, USA, DOI, PDF, Jul 2007. | |
Bauer, L.; Shafique, M.; Kramer, S.; Henkel, J. RISPP: Rotating Instruction Set Processing Platform in ACM/IEEE/EDA 44th. Design Automation Conference (DAC'07), San Diego, CA, USA, DOI, PDF, Jun 2007. | |
Bonny, T.; Henkel, J. Instruction Splitting for Efficient Code Compression in ACM/IEEE/EDA 44th. Design Automation Conference (DAC'07), San Diego, CA, USA, DOI, PDF, Jun 2007. | |
Bonny, T.; Henkel, J. Efficient Code Density Through Look-up Table Compression in IEEE/ACM Design Automation and Test in Europe Conference (DATE'07), Nice, France, DOI, PDF, Apr 16-20 2007. | |
Janapsatya, A.; Ignjatovic, A.; Parameswaran, S.; Henkel, J. Instruction Trace Compression for Rapid Instruction Cache Simulation in IEEE/ACM Design Automation and Test in Europe Conference (DATE'07), Nice, France, DOI, PDF, Apr 16-20 2007. |
Exhibition | |
---|---|
Hillenbrand, D.; Mende, M.; Armstrong, T.; Henkel, J. Hyperion: A sensor node test bed for (high-speed) power measurements in IEEE/ACM Design Automation and Test in Europe Conference (DATE'07), Session 8: "Power Management and Exhibition",Submission 82, Nice, France, Apr 2007. |
Books / Book Chapter | |
---|---|
Parameswaran, S.; Henkel, J.; Janapsatya, A.; Bonny, T.; Ignjatovic, A. Design and Run Time Code Compression for Embedded Systems in "Designing Embedded Processors J. Henkel and S. Parameswaran (Eds.), Springer, 2007. | |
Henkel, J.; Parameswaran, S.; Cheung, N. Application-Specific Embedded Processors in "Designing Embedded Processors" J. Henkel and S. Parameswaran (Eds.), Springer, 2007. | |
Henkel, J.; Parameswaran, S. Designing Embedded Processors - A low power perspective Springer, 2007. ISBN 978-1-4020-5868-4 (HB) ISBN 978-1-4020-5869-1 (e-book) |
Workshop | |
---|---|
Bauer, L.; Shafique, M.; Henkel, J. Efficient Resource Utilization for an Extensible Processor through Dynamic Instruction Set Adaptation in 5th Workshop on Application Specific Processors (WASP'07), Salzburg, Austria, Oct 2007. | |
Shafique, M.; Bauer, L.; Henkel, J. An Optimized Application Architecture of the H.264 Video Encoder for Application Specific Platforms in IEEE 5th Workshop on Embedded Systems for Real-Time Multimedia (ESTIMedia'07),Salzburg, Austria, DOI, PDF, Oct 2007. |
Year 2006
Journals | |
---|---|
Xu, J.; Wolf, W.; Henkel, J.; Chakradar, S.T. A design methodology for application-specific networks-on-chip in ACM Trans. Embedded Comput. Syst. (Volume 5, Issue 2), DOI, PDF, May 2006. | |
Kalla, P.; Hu, X.S.; Henkel, J. Distance-based recent use (DRU): an enhancement to instruction cache replacement policies for transition energy reduction in IEEE Transactions on Very Large Scale Integration Systems (TVLSI) (Volume 14, Issue 1), DOI, PDF, Jan 2006. |
Conferences | |
---|---|
Al Faruque, M.A.; Weiss, G.; Henkel, J. Bounded Arbitration Algorithm or QoS-Supported On-chip Communication in IEEE/ACM Int'l Conference on Hardware/Software Co-Design and System Synthesis (Codes+ISSS'06), Seoul, Korea, DOI, PDF, Oct 2006. | |
Bonny, T.; Henkel, J. Using Lin-Kernighan Algorithm for Look-up Table Compression to Improve Code Density in Proc. of IEEE/ACM 16th. Great Lakes Symposium on VLSI (GLSVLSI'06), Philadelphia, USA, DOI, PDF, Apr 30 - May 2 2006. | |
Jürgen Becker, Kurt Brändle, Uwe Brinkschulte, Jörg Henkel, Wolfgang Karl, Thorsten Köster, Michael Wenz, Heinz Wörn Digital On-Demand Computing Organism for Real-Time Systems in ARCS 2006 - 19th International Conference on Architecture of Computing Systems, Frankfurt am Main, Germany, DOI, PDF, March 16 2006. | |
Lekatsas, H.; Henkel, J.; Jakkula, V.; Chakradhar, S. Using Shiftable Content Addressable Memories to Double Memory Capacity on Embedded Systems in IEEE 19th. International Conference on VLSI Design 2006, DOI, PDF, Jan 3-7 2006. |
Books / Book Chapter | |
---|---|
Cheung, N.; Henkel, J.; Parameswaran, S. Instruction Matching and Modelling in "Customizable and Configurable Embedded Processors",Publisher: Lenne, P.; Leupers, R.; Elsevier: Morgan Kaufmann, 2006. |
Workshop | |
---|---|
Al Faruque, M.A.; YE, X.; Weiss, G.; Henkel, J. QoS-Oriented Configurable Networks on Chip Poster in Workshop Future Interconnects and Networks on Chip in Design Automation and Test in Europe (DATE'06), Munich, Germany, Mar 2006. | |
Ziller, R.; Schmid, D. Erstellung korrekter Spezifikationen für diskrete Systeme in GI/ITG/GMM - Workshop Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen 2006, Dresden, Germany, Feb 2006. |
Year 2005
Patents | |
---|---|
Henkel, J.; Lekatsas, H.; Jakkula, V. Apparatus for one-cycle decompression of compressed data and methods of operation thereof US Patent No. 6,892,292, issued May 10 2005. | |
Henkel, J.; Vahid, F.; Givargis, T. Method for core-based system-level power modeling using object-oriented techniques US Patent No. 6,865,526, issued March 8 2005. |
Journals | |
---|---|
Lekatsas, H.; Henkel, J.; Wolf, W. Approximate arithmetic coding for bus transition reduction in low power designs in IEEE Transactions on VLSI Systems (Volume 13, Issue 6), DOI, PDF, Jun 2005. | |
Parameswaran, S.; Henkel, J. Instruction Code Mapping for Performance Increase and Energy Reduction in Embedded Computer Systems in IEEE Transactions on VLSI Systems (Volume 13, Issue 4), DOI, PDF, Apr 2005. | |
Bhattacharyya, S.S.; Henkel, J.; Hu, Xiaobo S. Hardware/software codesign for DSP in IEEE Signal Processing Magazine (Volume 22, Issue 3), DOI, PDF, May 2005. | |
Ziller, R.; Schneider, K. Combining Supervisor Synthesis and Model Checking in ACM Transactions on Embedded Computing Systems (Volume 4, Issue 2), May 2005. | |
Lv, T.; Jiang Xu; Wolf, W.; Ozer, I.B.; Henkel, J.; Chakradhar, S.T. A Methodology for Architectural Design of Multimedia Multiprocessor SoCs in IEEE Design & Test of Computers (Volume 22, Issue 1), DOI, PDF, Jan 2005. |
Conferences | |
---|---|
Feldbusch, F.; Kaiser, F. Simulation of spiking neural nets with INSpiRE ME in IEEE Systems, Man and Cybernetics 2005, Volume 2, Hawaii, Oct. 10-12 2005. | |
Ziller, R. An Application of Generalized Supervisor Synthesis to the Control of a Call Center in Forum on Specification and Design Languages 2005, Lausanne, Switzerland0, Sep 2005. | |
Xu, J.; Wolf, W.; Henkel, J.; Chakradhar, S. H. 264 HDTV Decoder Using Application-Specific Networks-On-Chip in IEEE International Symposium on Multimedia and Expo, ICME'05, Amsterdam, The Netherlands, DOI, PDF, Jul 6-8 2005. | |
Karuri, K.; Al Faruque, M.; Kraemer, S.; Leupers, R.; Ascheid, G.; Meyr, H. Fine-grained Application Source Code Profiling for ASIP Design in 42nd. Design Automation Conference, DAC'05, California, USA, DOI, PDF, Jun 13-17 2005. | |
Xu, J.; Wolf, W.; Henkel, J.; Chakradhar, S. A methodology for design, modeling, and analysis of networks-on-chip in IEEE International Symposium on Circuits and Systems, ISCAS'05, Vol.2, Kobe, Japan, DOI, PDF, May 23-26 2005. | |
Cheung, N.; Parameswaran, S.; Henkel, J. Battery-Aware Instruction Generation for Embedded Processors in IEEE Asia South Pacific Design Automation Conference, ASP-DAC'05, Shanghai, China, DOI, PDF, Jan 21 2005. | |
Kalla, P.; Hu, X.S.; Henkel, J. A Flexible Framework for Communication Evaluation in SoC Design in IEEE Asia South Pacific Design Automation Conference, ASP-DAC'05, Shanghai, China, DOI, PDF, Jan 21 2005. | |
Lekatsas, H.; Henkel, J.; Jakkula, V.; Chakradhar, S. A unified architecture for adaptive compression of data and code on embedded systems in IEEE Proc. of 18th. the International Conference on VLSI Design 2005, Kolkata, DOI, PDF, Jan 3-7 2005. |
PhD Thesis | |
---|---|
Syrjakow, E. Eine Komponentenarchitektur zur Integration heterogener Modellierungswerkzeuge Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, 2005. | |
Ziller, R. Eine Verallgemeinerung der Überwachersynthese mit Hilfe des µ-Kalküls Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, 2005. |
Year 2004
Patents | |
---|---|
Henkel, J., Lekatsas, H. Method and apparatus for adaptive bus coding for low power deep submicron designs US Patent No. 6,741,190, issued May 25 2004. | |
Henkel, J., Wolf, W., Lekatsas, H. Method and apparatus for object code compression and decompression for computer systems US Patent No. 6,732,256, issued May 4 2004. | |
Henkel, J., Wolf, W., Lekatsas, H. Object code compression using different schemes for different instruction types US Patent No. 6,691,305, issued Feb. 10 2004. |
Journals | |
---|---|
Lekatsas, H., Henkel, J., Chakradhar, S., Jakkula, V. Cypress: Compression and Encryption of Data and Code for Embedded Multimedia Systems in IEEE Proceedings of the Design & Test of Computers (Volume 21, Issue 5), DOI, PDF, May 2004. |
Conferences | |
---|---|
Syrjakow, M., Syrjakow, E., Szczerbicka, H. Tool Support for Performance Modeling and Optimization in Proceedings of the fifth Asia-Pacific Industrial Engineering and Management Systems Conference (APIEMS'04), Brisbane, Queensland, Australia, Dec 12-15 2004. | |
Janapsatya, A., Parameswaran, S., Henkel, J. REMcode: relocating embedded code for improving system efficiency in IEE Proceedings of Computers and Digital Techniques 2004, Volume 151, Issue 6, DOI, PDF, Nov. 18 2004. | |
Kapp, K., Sabelfeld, V. Automatic Correct Scheduling of Control Flow Intensive Behavioral Descriptions in Formal Synthesis in Proceedings of the 41st Design Automation Conference (DAC'04), San Diego, California, USA, Jun 7-11 2004. | |
Cheung, N., Parameswaran, S., Henkel, J., Chan, J. MINCE: Matching Instructions with Combinational Equivalence for Extensible Processor in IEEE/ACM Proceedings of Design Automation and Test in Europe Conference (DATE’04), Paris, France, DOI, PDF, Feb 16-20 2004. | |
Marculescu, R., Henkel, J., Pedram, M. Quo Vadis Multimedia? From Desktop Multimedia to Distributed Multimedia Systems in IEEE/ACM Proceedings of Design Automation and Test in Europe Conference (DATE’04), Paris, France, DOI, PDF, Feb 16-20 2004. | |
Xu, J., Wolf, W., Henkel, J., Chakradhar, S., Lv, T. A case study in networks-on-chip design for embedded video in IEEE/ACM Proceedings of Design Automation and Test in Europe Conference (DATE’04), Paris, France, DOI, PDF, Feb 16-20 2004. | |
Cheung, N., Parameswaran, S., Henkel, J. A Quantitative Study and Estimation Models for Extensible Instructions in Embedded Processors in IEEE/ACM Proceedings of International Conference on Computer-Aided Design (ICCAD'04), San Jose, California, USA, DOI, PDF, Nov 7-11 2004. | |
Henkel, J., Wolf, W., Chakradhar, S. On-chip networks: a scalable, communication-centric embedded system design paradigm in IEEE Proceedings of 17th. International Conference on VLSI Design 2004 (VLSI Design 2004), Mumbai, India, DOI, PDF, Jan 5-9 2004. |
Workshop | |
---|---|
Kapp, K., Sabelfeld, V. Scheduling of Control Flow Intensive Behavioral Descriptions in Formal Synthesis in GI/ITG/GMM Workshop "Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen",D. Stoffel, W. Kunz (Eds.), Kaiserslautern, Germany, Feb 24-25 2004. |
Year 2003
Patents | |
---|---|
Henkel, J. Low power hardware/software partitioning approach for core-based embedded systems US Patent No. 6, 622, 287 , issued Sep 16 2003. | |
Henkel, J.; Lekatsas, H. Method and apparatus for adaptive bus coding for low power deep submicron designs US Patent No. 6, 583, 735 , issued June 24 2003. |
Journals | |
---|---|
Kordon, F.; Henkel, J. An Overview of Rapid System Prototyping Today in Kluwer Journal on Design Automation for Embedded Systems (DAES) (Volume 8, Issue 4), DOI, PDF, Dec 2003. | |
Henkel, J. Closing the SoC design gap in Computer (Volume 36, Issue 9), DOI, PDF, Sep 2003. | |
Henkel, J.; Hu, X.S.; Bhattacharyya, S.S. Taking on the embedded system design challenge in Computer (Volume 36, Issue 4), DOI, PDF, Apr 2003. | |
Lv, T.; Henkel, J.; Lekatsas, H.; Wolf, W. A dictionary-based en/decoding scheme for low-power data buses in IEEE Transactions on Very Large Scale Integration Systems (TVLSI) (Volume 11, Issue 5), DOI, PDF, Oct 2003. | |
Feldbusch, F.; Ivanov, I.; Odendahl, M.; Paar, A. The BTRC Bluetooth Remote Control System in Personal and Ubiquitous Computing Journal (Volume 7), Jan 2003. |
Conferences | |
---|---|
Logothetis, G.; Schneider, K.; Metzler, C. Generating Formal Models for Real-Time Verification by Exact Low-Level Analysis of Synchronous Programs in the 24th IEEE International Real-Time Systems Symposium (RTSS'03), Cancun, Mexico, Dec 3-5 2003. | |
Cheung, N.; Parameswaran, S.; Henkel, J. INSIDE:L INstruction selection/identification & design exploration for extensible processors in Proceedings of the International Conference on Computer Aided Design (ICCAD'03), DOI, PDF, Nov. 9-13 2003. | |
Kalla, P.; Hu, X.S.; Henkel, J. LRU-SEQ: a novel replacement policy for transition energy reduction in instruction caches in Proceedings of the International Conference on Computer Aided Design (ICCAD'03), DOI, PDF, Nov. 9-13 2003. | |
Logothetis, G.; Schneider, K.; Metzler, C. Exact Low-Level Runtime Analysis of Synchronous Programs for Formal Verification of Real-Time Systems in Forum on Specification and Design Language (FDL'03), Frankfurt, Germany, Sep. 23-26 2003. | |
Logothetis, G.; Schneider, K.; Metzler, C. Runtime Analysis of Synchronous Programs for Low-Level Real-Time Verification in 16th Symposium on Integrated Circuits and System Design (SBCCI'03), Brazil, Sep. 8-11 2003. | |
Ziller, R.; Schneider, K. Reducing Complexity of Supervisor Synthesis in Proceedings of the 2nd IFAC Conference on Control Systems Design 2003, Bratislava, Slovakia, Sep 2003. | |
Sabelfeld, V.; Kapp, K. Numeric Types in Formal Synthesis in Perspectives of System Informatics, 5th International Andrei Ershov Memorial Conference 2003, LNCS 2890, Springer, Novosibirsk, Russia, Jul 9-12 2003. | |
Syrjakow, E.; Syrjakow, M. XML for Data Representation in Modeling and Simulation Environments in Proceedings of the International Conference on Modelling, Simulation, and Optimization (MSO'03), Banff, Alberta, Canada, Jul 2-4 2003. | |
Ziller, R.; Schneider, K. A Generalised Approach to Supervisor Synthesis in First ACM & IEEE International Conference on Formal Methods and Models for Codesign 2003, Mont Saint Michel, France, Jun 24-26 2003. | |
Lekatsas, H.; Henkel, J.; Chakradhar, S.; Jakkula, V.; Sankaraclass, M Coco: a hardware/software platform for rapid prototyping of code compression technologies in Proceedings of the 40th Design Automation Conference (DAC'03), Anaheim, California, USA, DOI, PDF, Jun 2-6 2003. | |
Cheung, N.; Henkel, J.; Parameswaran, S. Rapid configuration and instruction selection for an ASIP: a case study in Proceedings of the Design, Automation and Test in Europe Conference and Exposition (DATE'03), Munich, Germany, DOI, PDF, Mar. 3-7 2003. | |
Logothetis, G.; Schneider, K. Exact High Level WCET Analysis of Synchronous Programs by Symbolic State Space Exploration in Proceedings of the Design, Automation and Test in Europe Conference and Exposition (DATE'03), Munich, Germany, Mar. 3-7 2003. | |
Lv, T.; Henkel, J.; Lekatsas, H.; Wolf, W. Enhancing Signal Integrity through a Low-Overhead Encoding Scheme on Address Buses in Proceedings of the Design, Automation and Test in Europe Conference and Exposition (DATE'03), Munich, Germany, DOI, PDF, Mar. 3-7 2003. | |
Kalla, P.; Henkel, J.; Hu, X.S. SEA: fast power estimation for micro-architectures in Proceedings of the Design Automation Conference (ASP-DAC'03), Asia and South Pacific, DOI, PDF, Jan 21-24 2003. | |
Parameswaran, S.; Henkel, J.; Lekatsas, H Multi-parametric improvements for embedded systems using code-placement and address bus coding in Proceedings of the Design Automation Conference (ASP-DAC'03), Asia and South Pacific, DOI, Jan 21-24 2003. | |
Chandra, R.; Henkel, J.; Panda, P.R.; Parameswaran, S.; Ramachandran, L. Specification and design of multi-million gate SOCs in Proceedings of the 16th International Conference on VLSI Design 2003, DOI, PDF, Jan 04-08 2003. |
Books / Book Chapter | |
---|---|
Syrjakow, E. Prozesssimulation und -optimierung in der Planung und Durchführung in Informationslogistik und Prozessmanagement, H. Grabowski, C. Klimesch (Hrsg.), Logos Verlag Berlin, ISBN 3-8325-0182-7, May 2003. | |
Ashar, P.; Chakradhar, S.; Gupta, A.; Henkel, J.; Raghunathan, A.; Wakabayashi, K. NEC and ICCAD - EDA Partners in Success in The Best of ICCAD: 20 Years of Excellence in Computer-Aided Design, A. Kuehlmann (ed.), Kluwer, Feb 2003. |
Workshop | |
---|---|
Kapp, K.; Sabelfeld, V. Dead Code Elimination in Formal Synthesis in 6. GI/ITG/GMM-Workshop: Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, Bremen, Germany, Feb 24-26 2003. | |
Sabelfeld, V.; Kapp, K. Arithmetics in Formal Synthesis in 6. GI/ITG/GMM-Workshop: Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, Bremen, Germany, Feb 24-26 2003. | |
Ziller, R.; Schneider, K. A µ-Calculus Approach to Supervisor Synthesis in 6. GI/ITG/GMM-Workshop: Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, Bremen, Germany, Feb 24-26 2003. |
Other | |
---|---|
Syrjakow, M. Web- und Komponenten Technologien in der Modellierung und Simulation Habilitationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Rechnerentwurf und Fehlertoleranz, 2003. | |
Logothetis, G. Specification, Modelling, Verification and Runtime Analysis of Real Time Systems Dissertationsschrift der Fakultät für Informatik der Universität Karlsruhe, 2003. | |
Stoecklein, S. Entwicklung eines BTRC-RC5 Umsetzers auf Mikrocontrollerbasis Diplomarbeit an der Universität Karlsruhe, Fakultät für Informatik, Institut für Rechnerentwurf und Fehlertoleranz, 2003. | |
Weiss, W. Lernen in pulscodierten Neuronalen Netzen Diplomarbeit an der Universität Karlsruhe, Fakultät für Informatik, Institut für Rechnerentwurf und Fehlertoleranz, 2003. | |
Metzler, C. Laufzeitanalyse Synchroner Programme Diplomarbeit an der Universität Karlsruhe, Fakultät für Informatik, Institut für Rechnerentwurf und Fehlertoleranz, 2003. |
Year 2025
Conferences | |
---|---|
Hassan Nassar, Jeferson Gonzalez-Gomez, Varun Manjunath, Lars Bauer, and
Jörg Henkel Through Fabric: A Cross-world Thermal Covert Channel on TEE-enhanced FPGA-MPSoC Systems in 30th Asia and South Pacific Design Automation Conference (ASPDAC ’25) , (Accepted) 2025. |
Year 2024
Journals | |
---|---|
Lars Bauer, Hassan Nassar, Nadir Khan, Jürgen Becker, and Jörg Henkel Machine-Learning-based Side-Channel Attack Detection for FPGA SoCs in IEEE Transactions on Circuits and Systems for Artificial Intelligence (TCAS-AI), DOI, PDF, Early Access 2024. | |
Sahar Rezagholi Lalani; Bardia Safaei; Amir Mahdi Hosseini Monazzah; Hossein Taghizadeh; Jörg Henkel; Alireza Ejlali QUERA: Q-Learning RPL Routing Mechanism to Establish Energy Efficient and Reliable Communications in Mobile IoT Networks in IEEE Transactions on Green Communications and Networking, DOI, PDF, Early Access 2024. | |
Konstantinos Balaskas, Andreas Karatzas, Christos Sad, Kostas Siozios, Iraklis Anagnostopoulos, Georgios Zervakis, Jörg Henkel Hardware-Aware DNN Compression via Diverse Pruning and Mixed-Precision Quantization in IEEE Transactions on Emerging Topics in Computing, DOI, PDF, Early Access 2024. | |
Jeferson Gonzalez-Gomez, Mohammed Bakr Sikal, Lars Bauer, Heba Khdr, Jörg
Henkel Balancing Security and Efficiency: System-Informed Mitigation of Power-Based Covert Channels in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD, Volume 43, Issue 11), DOI, PDF, Nov 2024. | |
Mohammed Bakr Sikal, Heba Khdr, Lokesh Siddhu, Jörg Henkel ML-Based Thermal and Cache Contention Alleviation on Clustered Manycores with 3-D HBM in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD, Volume 43, Issue 11), DOI, PDF, Nov 2024. | |
Hassan Nassar, Jonas Krautter, Lars Bauer, Dennis Gnad, Mehdi
Tahoori and Jörg Henkel Meta-Scanner: Detecting Fault Attacks via Scanning FPGA Designs Metadata in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD, Volume 43, Issue 11), DOI, PDF, Nov 2024. | |
Arya Motamedhashemi, Bardia Safaei, Amir Mahdi Hosseini Monazzah, Jörg Henkel, Alireza Ejlali FUSION: A Fuzzy-based Multi-Objective Task Management for Fog Networks in IEEE Access (Volume 12), DOI, PDF, Oct 2024. | |
Konstantinos Balaskas, Heba Khdr, Mohammed Bakr Sikal, Fabian Kreß, Kostas Siozios, Jürgen Becker, Jörg Henkel Heterogeneous Accelerator Design for Multi-DNN Workloads via Heuristic Optimization in Embedded Systems Letters (ESL), ESWEEK24 Special Edition, Sep 2024 (ACCEPTED), 2024. | |
Abolfazl Younesi; Mohsen Ansari; MohammadAmin Fazli; Alireza Ejlali; Muhammad Shafique; Jörg Henkel A Comprehensive Survey of Convolutions in Deep Learning: Applications, Challenges, and Future Trends in IEEE Access (Volume 12), DOI, PDF, Mar 2024. |
Conferences | |
---|---|
Jayeeta Chaudhuri, Hassan Nassar, Dennis R.E. Gnad, Jörg Henkel, Mehdi B. Tahoori, and Krishnendu Chakrabarty Hacking the Fabric: Targeting Partial Reconfiguration for Fault Injection in FPGA Fabrics in The 33rd IEEE Asian Test Symposium (ATS 2024), Ahmedabad, Gujarat, India, Dec 17-20 2024. | |
Jörg Henkel, Lokesh Siddhu, Hassan Nassar, Lars Bauer, Jian-Jia Chen, Christian Hakert, Tristan Seidl, Kuan-Hsun Chen, Xiaobo Sharon Hu, Mengyuan Li, Chia-Lin Yang, and Ming-Liang Wei (Invited Paper) Co-Designing NVM-based Systems for Machine Learning and In-memory Search Applications in International Conference on Computer-Aided Design (ICCAD), Oct 27-31 2024. | |
Hassan Nassar, Philipp Machauer, Lars Bauer, Dennis Gnad, Mehdi Tahoori and Jörg Henkel DoS-FPGA: Denial of Service on Cloud FPGAs via Coordinated Power Hammering in International Conference on Computer Aided Design (ICCAD), Oct 27-31 2024. | |
Lilas Alrahis, Hassan Nassar, Jonas Krautter, Dennis Gnad, Lars Bauer, Jörg Henkel and Mehdi Tahoori MaliGNNoma: GNN-Based Malicious Circuit Classifier for Secure Cloud FPGAs in 2024 IEEE International Symposium on Hardware Oriented Security and Trust (HOST), Washington D.C., USA, DOI, PDF, May 6-9 2024. | |
Jeferson Gonzalez-Gomez, Hassan Nassar, Lars Bauer, Jörg Henkel (Short Paper) LightFAt: Mitigating Control-flow Explosion via Lightweight PMU-based Control-Flow Attestation in 2024 IEEE International Symposium on Hardware Oriented Security and Trust (HOST), Washington D.C., USA, DOI, PDF, May 6-9 2024. | |
Hassan Nassar, Lars Bauer, Jörg Henkel HBMorphic: FHE Acceleration via HBM-Enabled Recursive Karatsuba Multiplier on FPGA in The 32nd IEEE International Symposium On Field-Programmable Custom Computing Machines (FCCM), Orlando, FL, USA, May 2024. | |
Heba Khdr, Mustafa Enes Batur, Kanran Zhou, Mohammed Bakr Sikal and Jörg Henkel Multi-Agent Reinforcement Learning for Thermally-Restricted Performance Optimization in Manycores in Design, Automation and Test in Europe Conference (DATE'24), PDF, Mar 25 - 27 2024. | |
Hassan Nassar, Philipp Machauer, Dennis Gnad, Lars Bauer, Mehdi Tahoori and Jörg Henkel Covert-Hammer: Coordinating Power-Hammering on Multi-tenant FPGAs via Covert Channels (Poster) in ACM International Symposium on Field-Programmable Gate Arrays (ISFPGA), Monterey CA, USA, DOI, March 3-5 2024. |
arXiv | |
---|---|
Kilian Pfeiffer, Mohamed Aboelenien Ahmed, Ramin Khalili, Jörg Henkel Efficient Federated Finetuning of Tiny Transformers with Resource-Constrained Devices in arxiv, DOI, PDF, 2024. | |
Jeferson Gonzalez-Gomez, Jose Alejandro Ibarra-Campos, Jesus Yamir Sandoval-Morales, Lars Bauer, Jörg Henkel MeMoir: A Software-Driven Covert Channel based on Memory Usage in arxiv, DOI, PDF, 2024. | |
Jeferson Gonzalez-Gomez, Hassan Nassar, Lars Bauer, Jorg Henkel LightFAt: Mitigating Control-flow Explosion via Lightweight PMU-based Control-flow Attestation in arxiv, DOI, PDF, 2024. | |
Abolfazl Younesi, Mohsen Ansari, MohammadAmin Fazli, Alireza Ejlali, Muhammad Shafique, Jörg Henkel A Comprehensive Survey of Convolutions in Deep Learning: Applications, Challenges, and Future Trends in arxiv, DOI, PDF, 2024. | |
Kilian Pfeiffer, Konstantinos Balaskas, Kostas Siozios, Jörg Henkel Energy-Aware Heterogeneous Federated Learning via Approximate Systolic DNN Accelerators in arxiv, DOI, PDF, 2024. | |
Dimitrios Danopoulos, Georgios Zervakis, Dimitrios Soudris, Jörg Henkel TransAxx: Efficient Transformers with Approximate Computing in arxiv, DOI, PDF, 2024. |
Year 2023
Journals | |
---|---|
Lokesh Siddhu; Hassan Nassar; Lars Bauer; Christian Hakert; Nils Hölscher; Jian-Jia Chen; Jörg Henkel
Swift-CNN: Leveraging PCM Memory’s Fast Write Mode to Accelerate CNNs in IEEE Embedded Systems Letters (Volume 14, Issue 4), DOI, PDF, Dec 2023. | |
Nikolay Penkov; Konstantinos Balaskas; Martin Rapp; Jörg Henkel Differentiable Slimming for Memory-Efficient Transformers in IEEE Embedded Systems Letters (Volume 15, Issue 4), DOI, PDF, Dec 2023. | |
Hassan Nassar, Lars Bauer, Jörg Henkel Effects of Runtime Reconfiguration on PUFs Implemented as FPGA-based Accelerators in Embedded Systems Letters (ESL), ESWEEK23 Special Edition (Volume 15, Issue 4), DOI, PDF, Dec 2023. | |
Martin Rapp, Heba Khdr, Nikita Krohmer, Jörg Henkel NPU-Accelerated Imitation Learningfor Thermal Optimizationof QoS-Constrained Heterogeneous Multi-Cores in ACM Transactions on Design Automation of Electronic Systems, DOI, PDF, Nov 2023. | |
Giorgos Armeniakos, Georgios Zervakis, Dimitrios Soudris, Mehdi B. Tahoori, and Jörg Henkel Model-to-Circuit Cross-Approximation For Printed Machine Learning Classifiers in Transactions on Computer-Aided Design of Integrated Circuits (Volume 42, Issue 11), DOI, PDF, Nov 2023. | |
Hassan Nassar, Lars Bauer, Jörg Henkel ANV-PUF: Machine-Learning-Resilient NVM-Based Arbiter PUF in Transactions on Embedded Computing Systems (TECS), ESWEEK23 Special Edition, DOI, PDF, Sep 2023. | |
Giorgios Armeniakos, Georgios Zervakis, Dimitrios Soudris, Mehdi Tahoori, Jörg Henkel Co-Design of Approximate Multilayer Perceptron for Ultra-Resource Constrained Printed Circuits in Transactions on Computers (Volume 72, Issue 9), DOI, PDF, Sep 2023. | |
Virinchi Roy Surabhi, Prashanth Krishnamurthy, Hussam Amrouch, Jörg Henkel, Ramesh Karri, Farshad Khorrami Golden-Free Robust Age Estimation to Triage Recycled ICs in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (Volume 42, Issue 9), DOI, PDF, Sep 2023. | |
Nils Hölscher, Christian Hakert, Hassan Nassar, Kuan-Hsun Chen, Lars Bauer, Jian-Jia Chen, and Jörg Henkel Memory Carousel: LLVM-Based Bitwise Wear-Leveling for Non-Volatile Main Memory in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD, Volume 42, Issue 8), DOI, PDF, Aug 2023. | |
Victor van Santen, Fu Florian Diep, Jörg Henkel, and Hussam Amrouch Massively Parallel Circuit Setup in GPU-SPICE in IEEE Transactions on Computers (TC, Volume 72, Issue 8), DOI, PDF, Aug 2023. | |
Kilian Pfeiffer, Martin Rapp, Ramin Khalili, Jörg Henkel
Federated Learning for Computationally-Constrained Heterogeneous Devices: A Survey in ACM Computing Surveys (Volume 55, Issue 14s), DOI, PDF, Jul 2023. | |
Roozbeh Siyadatzadeh, Fatemeh Mehrafrooz, Mohsen Ansari, Bardia Safaei, Muhammad Shafique, Jörg Henkel, Alireza Ejlali ReLIEF: A Reinforcement-Learning-Based Real-Time Task Assignment Strategy in Emerging Fault-Tolerant Fog Computing in IEEE Internet of Things Journal ( Volume 10, Issue 12), DOI, PDF, Jun 2023. | |
Kilian Pfeiffer, Martin Rapp, Ramin Khalili, Jörg Henkel CoCoFL: Communication- and Computation-Aware Federated Learning via Partial NN Freezing and Quantization in Transactions on Machine Learning Research (TMLR), DOI, PDF, Jun 2023. | |
Roozbeh Siyadatzadeh, Fatemeh Mehrafrooz, Mohsen Ansari, Bardia Safaei, Muhammad Shafique, Joerg Henkel, and Alireza Ejlali ReLIEF: A Reinforcement Learning-Based Real-Time Task Assignment Strategy in Emerging Fault-Tolerant Fog Computing in IEEE Internet of Things Journal (Volume 10, Issue 12), DOI, PDF, June 2023. | |
Dimitrios Danopoulos, Georgios Zervakis, Kostas Siozios, Dimitrios Soudris and Jörg Henkel AdaPT: Fast Emulation of Approximate DNN Accelerators in PyTorch in Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD Volume 42, Issue 6), DOI, PDF, Jun 2023. Sourcecode on github | |
Jeferson Gonzalez-Gomez, Lars Bauer, Jörg Henkel Cache-based Side-Channel Attack Mitigation for Many-core Distributed Systems via Dynamic Task Migration in IEEE Transactions on Information Forensics and Security, DOI, PDF, Apr 2023. | |
Giorgos Armeniakos, Georgios Zervakis, Dimitrios Soudris, Jörg Henkel Hardware Approximate Techniques for Deep Neural Network Accelerators: A Survey in ACM Computing Surveys (CSUR, Volume 55, Issue 4), DOI, PDF, Apr 2023. | |
Ourania Spantidi, Georgios Zervakis, Sami Alsalamin, Isai Roman-Ballesteros, Jörg Henkel, Hussam Amrouch, and Iraklis Anagnostopoulos Targeting DNN Inference via Efficient Utilization of Heterogeneous Precision DNN Accelerators in IEEE Transactions on Emerging Topics in Computing (Volume 11, Issue 1), DOI, PDF, Mar 2023. |
Conferences | |
---|---|
Hassan Nassar, Rafik Youssef, Lars Bauer, and Jörg Henkel Supporting Dynamic Control-Flow Execution for Runtime Reconfigurable Processors in IEEE International Conference on Microelectronics (ICM), Abu Dhabi, UAE, DOI, PDF, Dec 17-20 2023. | |
Kilian Pfeiffer, Ramin Khalili, Jörg Henkel Aggregating Capacity in FL through Successive Layer Training for Computationally-Constrained Devices in 37th Advances in Neural Information Processing Systems (NeurIPS'23), New Orleans, USA, DOI, PDF, Dec 10 - 17 2023. | |
Jörg Henkel, Lokesh Siddhu, Lars Bauer, Jürgen Teich, Stefan Wildermann, Mehdi Tahoori, Mahta Mayahinia, Jeronimo Castrillon, Asif Ali Khan, Hamid Farzaneh, João Paulo C. de Lima, Jian-Jia Chen, Christian Hakert, Kuan-Hsun Chen, Chia-Lin Yang, Hsiang-Yun Cheng Non-Volatile Memories: Challenges and Opportunities for Embedded System Architectures with Focus on Machine Learning Applications in International Conference on Compilers, Architectures, and Synthesis for Embedded Systems (CASES), PDF, Sept 17-22 2023. | |
Hassan Nassar, Simon Pankner, Lars Bauer, Jörg Henkel Late Breaking Results: Configurable Ring Oscillators as a Side-Channel Countermeasure in 60th Design Automation Conference (DAC), San Francisco, DOI, PDF, Jul 9-13 2023. | |
Jeferson Gonzalez-Gomez, Mohammed Bakr Sikal, Heba Khdr, Lars Bauer, Jörg Henkel Smart Detection of Obfuscated Thermal Covert Channel Attacks in Many-core Processors in 60th Design Automation Conference (DAC), San Francisco, DOI, PDF, Jul 9-13 2023. | |
Mohammed Bakr Sikal, Heba Khdr, Martin Rapp, Jörg Henkel Machine Learning-based Thermally-Safe Cache Contention Mitigation in Clustered Manycores in 60th Design Automation Conference (DAC), San Francisco, DOI, PDF, Jul 9-13 2023. | |
Mohsen Ansari, Sepideh Safari, Amir Yeganeh-Khaksar, Roozbeh Syiadatzadeh, Pourya Gohari-Nazari, Heba Khdr, Muhammad Shafique, Jörg Henkel, Alireza Ejlali ATLAS: Aging-Aware Task Replication for Multicore Safety-Critical Systems in 29th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2023), DOI, PDF, May 9-12 2023. | |
Marcel Mettler, Martin Rapp, Heba Khdr, Daniel Müller-Gritschneder, Jörg Henkel, Ulf Schlichtmann Extended Abstract: Monitoring-based Thermal Management for Mixed-Criticality Systems in 26th Design, Automation and Test in Europe Conference (DATE'23), Antwerp, Belgium, Apr 17-19 2023. | |
Jeferson Gonzalez-Gomez, Kevin Cordero-Zuñiga, Lars Bauer, Jörg Henkel The First Concept and Real-world Deployment of a GPU-based Thermal Covert Channel: Attack and Countermeasures in 26th Design, Automation and Test in Europe Conference (DATE'23), Antwerp, Belgium, DOI, PDF, Apr 17-19 2023. | |
Argyris Kokkinis, Georgios Zervakis, Kostas Siozios, Mehdi B. Tahoori, Jörg
Henkel Hardware-Aware Automated Neural Minimization for Printed Multilayer Perceptrons in 26th Design, Automation and Test in Europe Conference (DATE'23), Antwerp, Belgium, Apr 17-19 2023. |
arXiv | |
---|---|
Argyris Kokkinis, Georgios Zervakis, Kostas Siozios, Mehdi B. Tahoori, Jörg Henkel Hardware-Aware Automated Neural Minimization for Printed Multilayer Perceptrons in arXiv, DOI, PDF, Jan 2023. |
Workshop | |
---|---|
Marcel Mettler, Martin Rapp, Heba Khdr, Daniel Mueller-Gritschneder, Jörg Henkel, Ulf Schlichtmann MonTM: Monitoring-Based Thermal Management for Mixed-Criticality Systems in 14th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 12th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms (PARMA-DITAM 2023), DOI, PDF, 2023. |
Year 2022
Journals | |
---|---|
Mohsen Ansari, Sepideh Safari, Heba Khdr, Pourya Gohari-Nazari, Jörg Henkel, Alireza Ejlali, Shaahin Hessabi Power-Aware Checkpointing for Multicore Embedded Systems in IEEE Transactions on Parallel and Distributed Systems (Volume 33, Issue 12), DOI, PDF, Dec 2022. | |
Hassan Nassar, Lars Bauer, and Jörg Henkel CaPUF: Cascaded PUF Structure for Machine Learning Resiliency in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD, Volume 41, Issue 11), DOI, PDF, Nov 2022. | |
Ourania Spantidi, Georgios Zervakis, Iraklis Anagnostopoulos, and Jörg
Henkel Energy-efficient DNN Inference on Approximate Accelerators Through Formal Property Exploration in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD, Volume 41, Issue 11), DOI, PDF, Nov 2022. | |
Virinchi Roy Surabhi, Prashanth Krishnamurthy, Hussam Amrouch, Jörg Henkel, Ramesh Karri, Farshad Khorrami Trojan Detection in Embedded Systems With FinFET Technology in IEEE Transactions on Computers (Volume 71, Issue 11), DOI, PDF, Nov 2022. | |
Mohsen Ansari, Sepideh Safari, Sina Yari-Karin, Pourya Gohari-Nazari, Heba Khdr, Muhammad Shafique, Jörg Henkel, Alireza Ejlali Thermal-Aware Standby-Sparing Technique on Heterogeneous Real-Time Embedded Systems in IEEE Transactions on Emerging Topics in Computing (Volume 10, Issue 4), DOI, PDF, Oct - Dec 2022. | |
Konstantinos Balaskas, Florian Klemme, Georgios Zervakis, Kostas Siozios, Hussam Amrouch, Jörg Henkel Variability-Aware Approximate Circuit Synthesis via Genetic Optimization in IEEE Transactions on Circuits and Systems I: Regular Papers (Volume 69, Issue 10), DOI, PDF, Oct 2022. | |
Georgios Zervakis, Iraklis Anagnostopoulos, Sami Alsalamin, Ourania Spantidi, Isai Roman-Ballesteros, Jörg Henkel, Hussam Amrouch Thermal-Aware Design for Approximate DNN Accelerators in IEEE Transactions on Computers (Volume 71, Issue 10), DOI, PDF, Oct 2022. | |
Martin Rapp, Hussam Amrouch, Yibo Lin, Bei Yu, David Z. Pan, Marilyn Wolf, Jörg Henkel MLCAD: A Survey of Research in Machine Learning for CAD in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD, Volume 41, Issue 10), DOI, PDF, 2022. | |
Bardia Safaei, Hossein Taghizade, Amir Mahdi Hosseini Monazzah, Kimia Talaei, Parham Sadeghi, Aliasghar Mohammadsalehi, Jörg Henkel, and Alireza Ejlali Introduction and Evaluation of Attachability for Mobile IoT Routing Protocols with Markov Chain Analysis in IEEE Transactions on Network and Service Management (Volume 19, Issue 3), DOI, PDF, Sep 2022. | |
Marcel Mettler, Martin Rapp, Heba Khdr, Daniel Mueller-Gritschneder, Jörg Henkel An FPGA-based Approach to Evaluate Thermal and Resource Management Strategies of Many-Core Processors in ACM Transactions on Architecture and Code Optimization (Volume 19, Issue 3), DOI, PDF, Sep 2022. | |
Mikail Yayla, Sebastian Buschjager, Aniket Gupta, Jian-Jia Chen, Jörg Henkel, Katharina Morik, Kuan-Hsun Chen, Hussam Amrouch FeFET-based Binarized Neural Networks Under Temperature-dependent Bit Errors in IEEE Transactions on Computers (Volume 71, Issue 7), DOI, PDF, Jul 2022. | |
Sepideh Safari, Heba Khdr, Pourya Gohari-Nazari, Mohsen Ansari, Shaahin Hessabi, Jörg Henkel TherMa-MiCs: Thermal-Aware Scheduling for Fault-Tolerant Mixed-Criticality Systems in IEEE Transactions on Parallel and Distributed Systems (Volume 33, Issue 7), DOI, PDF, Jul 2022. | |
Lokesh Siddhu, Rajesh Kedia, Shailja Pandey, Martin Rapp, Anuj Pathania, Jörg Henkel, Preeti Ranjan Panda CoMeT: An Integrated Interval Thermal Simulation Toolchain for 2D, 2.5 D, and 3D Processor-Memory Systems in ACM Transactions on Architecture and Code Optimization (Volume 19, Issue 3), DOI, PDF, Apr 2022. https://github.com/marg-tools/CoMeT/ | |
Hussam Amrouch, Paul Genßler, Victor M. van Santen, Jörg Henkel On the Reliability of FeFET On-Chip Memory in IEEE Transactions on Computers (Volume 71, Issue 4), DOI, PDF, Apr 2022. | |
S. Salamin, G. Zervakis, F. Klemme, H. Kattan, Y. Chauhan, J. Henkel, and H. Amrouch Impact of NCFET Technology on Eliminating the Cooling Cost and Boosting the Efficiency of Google TPU in IEEE Transactions on Computers (Volume 71, Issue 4), DOI, PDF, Apr 2022. | |
Nafis Irtija, Iraklis Anagnostopoulos, Georgios Zervakis, Eirini Eleni Tsiropoulou, Hussam Amrouch, Jörg Henkel Energy Efficient Edge Computing Enabled by Satisfaction Games and Approximate Computing in IEEE Transactions on Green Communications and Networking (Volume 6, Issue 1), DOI, PDF, Mar 2022. | |
Divya Praneetha Ravipati, Rajesh Kedia, Victor M. van Santen, Jörg Henkel, Preeti Ranjan Panda, Hussam Amrouch FN-CACTI: Advanced CACTI for FinFET and NC-FinFET Technologies in IEEE Transactions on Very Large Scale Integration (VLSI) Systems (Volume 30, Issue 3), DOI, PDF, Mar 2022. | |
Guilherme Paim, Hussam Amrouch, Leandro Mateus Giacominni Rocha, Brunno Alves Abreu, Sergio Bampi, Jörg Henkel A Framework for Crossing Temperature-Induced Timing Errors Underlying Hardware Accelerators to the Algorithm and Application Layers in IEEE Transactions on Computers (Volume 71, Issue 2), DOI, PDF, Feb 2022. | |
Sepideh Safari, Mohsen Ansari, Heba Khdr, Pourya Gohari-Nazari, Sina Yari-Karin, Amir Yeganeh-Khaksar, Shaahin Hessabi, Alireza Ejlali, Jörg Henkel A Survey of Fault-Tolerance Techniques for Embedded Systems From the Perspective of Power, Energy, and Thermal Issues in IEEE Access (Volume 10), DOI, PDF, Jan 2022. | |
Aliasghar Mohammadsalehi, Bardia Safaei, Amir Mahdi Hosseini
Monazzah, Lars Bauer, Jörg Henkel, Alireza Ejlali ARMOR: A Reliable and Mobility-aware RPL for Mobile Internet of Things Infrastructures in Internet of Things Journal (Volume 9, Issue 2), DOI, PDF, Jan 2022. | |
Guilherme Paim, Hussam Amrouch, Eduardo Antônio Ceśar da Costa, Sergio Bampi, Jörg Henkel Bridging the Gap Between Voltage Over-Scaling and Joint Hardware Accelerator-Algorithm Closed-Loop in IEEE Transactions on Circuits and Systems for Video Technology (Volume 32, Issue 1), DOI, PDF, Jan 2022. | |
Christian Hakert, Kuan-Hsun Chen, Horst Schirmeier, Lars Bauer, Paul R. Genssler,
Georg von der Brüggen, Hussam Amrouch,
Jörg Henkel, Jian-Jia Chen
Software-Managed Read and Write Wear-Leveling for Non-Volatile Main Memory in ACM Transactions on Embedded Computing Systems (Volume 21, Issue 1), DOI, PDF, Jan 2022. | |
Tanfer Alan, Jörg Henkel Probability-Driven Evaluation of Lower-Part Approximation Adders in IEEE Transactions on Circuits and Systems II: Express Briefs (Volume 69, Issue 1), DOI, PDF, Jan 2022. Open Source Contribution | |
Naman Patel, Prashanth Krishnamurthy, Hussam Amrouch, Jörg Henkel, MichaelL Shamouilian, Ramesh Karri, and Farshad Khorrami Towards a New Thermal Monitoring Based Framework for Embedded CPS Device Security in IEEE Transactions on Dependable and Secure Computing (TDSC) (Volume 19, Issue 1), DOI, PDF, Jan-Feb 2022. |
Conferences | |
---|---|
Jörg Henkel, Hai Li, Anand Raghunathan, Mehdi B. Tahoori, Swagath Venkataramani, Xiaoxuan Yang, Georgios Zervakis Approximate Computing and the Efficient Machine Learning Expedition (invited) in IEEE/ACM International Conference on Computer-Aided Design (ICCAD '22), Oct 30 - Nov 03 2022. | |
Konstantinos Balaskas, Georgios Zervakis, Kostas Siozios, Mehdi B. Tahoori, Jörg Henkel Approximate Decision Trees For Machine Learning Classification on Tiny Printed Circuits in 23rd International Symposium on Quality Electronic Design (ISQED '22), DOI, PDF, 6-8 April 2022. | |
Martin Rapp, Ramin Khalili, Kilian Pfeiffer, Jörg Henkel DISTREAL: Distributed Resource-Aware Learning in Heterogeneous Systems in Thirty-Sixth AAAI Conference on Artificial Intelligence (AAAI'22), Vancouver, Canada, DOI, PDF, Feb 22 - Mar 01 2022. | |
Giorgos Armeniakos, Georgios Zervakis, Dimitrios Soudris, Mehdi B. Tahoori, Jörg Henkel Cross-Layer Approximation For Printed Machine Learning Circuits in IEEE/ACM 25th Design, Automation and Test in Europe Conference (DATE'22), Antwerp, Belgium, DOI, PDF, Mar 14-23 2022. Best Paper Nomination | |
Martin Rapp, Nikita Krohmer, Heba Khdr, Jörg Henkel NPU-Accelerated Imitation Learning for Thermal- and QoS-Aware Optimization of Heterogeneous Multi-Cores (IP) in IEEE/ACM 25th Design, Automation and Test in Europe Conference (DATE'22), Antwerp, Belgium, DOI, PDF, Mar 14-23 2022. | |
Mohammed Bakr Sikal, Heba Khdr, Martin Rapp, Jörg Henkel Thermal- and Cache-Aware Resource Management based on ML-Driven Cache Contention Prediction in IEEE/ACM 25th Design, Automation and Test in Europe Conference (DATE'22), Antwerp, Belgium, DOI, PDF, Mar 14-23 2022. |
Editorials | |
---|---|
Jörg Henkel Designing Autonomous Systems in IEEE Design & Test (Volume 39, Issue 1), DOI, PDF, Feb 2022. |
arXiv | |
---|---|
Volker Wenzel, Lars Bauer, Wolfgang Schröder-Preikschat, Jörg Henkel Agent-based Constraint Solving for Resource Allocation in Manycore Systems in arXiv, DOI, PDF, Apr 2022. | |
Dimitrios Danopoulos, Georgios Zervakis, Kostas Siozios, Dimitrios Soudris and Jörg Henkel AdaPT: Fast Emulation of Approximate DNN Accelerators in PyTorch in arXiv, DOI, PDF, Mar 2022. |
Books / Book Chapter | |
---|---|
Georgios Zervakis, Iraklis Anagnostopoulos, Hussam Amrouch, and Jörg
Henkel Enabling Efficient Inference of Convolutional Neural Networks via Approximation In: Liu, W., Lombardi, F. (eds) Approximate Computing. Springer, Cham., DOI, PDF, 2022. ISBN: 978-3-030-98346-8 | |
Nidhi Anantharajaiah, Tamim Asfour, Michael Bader, Lars Bauer, Jürgen Becker, Simon Bischof, Marcel Brand, Hans-Joachim Bungartz, Christian Eichler, Khalil Esper, Joachim Falk, Nael Fasfous, Felix Freiling, Andreas Fried, Michael Gerndt, Michael Glaß, Jeferson Gonzalez, Frank Hannig, Christian Heidorn, Jörg Henkel, Andreas Herkersdorf, Benedict Herzog, Jophin John, Timo Hönig, Felix Hundhausen, Heba Khdr, Tobias Langer, Oliver Lenke, Fabian Lesniak, Alexander Lindermayr, Alexandra Listl, Sebastian Maier, Nicole Megow, Marcel Mettler, Daniel Müller-Gritschneder, Hassan Nassar, Fabian Paus, Alexander Pöppl, Behnaz Pourmohseni, Jonas Rabenstein, Phillip Raffeck, Martin Rapp, Santiago Narváez Rivas, Mark Sagi, Franziska Schirrmacher, Ulf Schlichtmann, Florian Schmaus, Wolfgang Schröder-Preikschat, Tobias Schwarzer, Mohammed Bakr Sikal, Bertrand Simon, Gregor Snelting, Jan Spieck, Akshay Srivatsa, Walter Stechele, Jürgen Teich, Furkan Turan, Isaías A. Comprés Ureña, Ingrid Verbauwhede, Dominik Walter, Thomas Wild, Stefan Wildermann, Mario Wille, Michael Witterauf, Li Zhang Invasive Computing in FAU University Press (Editors: Jürgen Teich, Jörg Henkel, Andreas Herkersdorf), DOI, PDF, Aug 2022. | |
Lars Bauer, Jörg Henkel, Timo Hönig, Wolfgang Schröder-Preikschat,
Christian Eichler, Jeferson Gonzalez, Benedict Herzog,Tobias Langer,
Sebastian Maier, Jonas Rabenstein, Phillip Raffeck, Florian Schmaus Invasive Run-Time Support System (iRTSS) Chapter in Invasive Computing (Editors: Jürgen Teich, Jörg Henkel, Andreas Herkersdorf) FAU University Press, DOI, PDF, Aug 2022. | |
Lars Bauer, Jörg Henkel IoT-Technologien für eingebettetes Rechnen – Eine Übersicht Chapter in Handbuch Digitalisierung, Vahlen, 2022. ISBN: 978-3-8006-6562-4 |
Workshop | |
---|---|
Jorge Castro-Godínez, Tanfer Alan and Jörg Henkel ApproxiMath: Approximating Math Functions with Polynomial Series to Improve Performance on Accurate Hardware In 7th Workshop on Approximate Computing (AxC22),(Co-located with DAC'22), (accepted to appear), Jul 2022. |
PhD Thesis | |
---|---|
Martin Rapp Machine Learning for Resource-Constrained Computing Systems Dissertationsschrift der Fakultät für Informatik des Karlsruher Instituts für Technologie (KIT), DOI, PDF, May 31 2022. |
Year 2021
Journals | |
---|---|
Konstantinos Balaskas, Georgios Zervakis, Hussam Amrouch, Jörg Henkel, Kostas Siozios Automated Design Approximation to Overcome Circuit Aging in IEEE Transactions on Circuits and Systems I: Regular Papers (Volume 68, Issue 11), DOI, PDF, Nov 2021. | |
Sami Salamin, Georgios Zervakis, Yogesh Singh Chauhan, Jörg Henkel, Hussam Amrouch PROTON: Post-Synthesis Ferroelectric Thickness Optimization for NCFET Circuits in IEEE Transactions on Circuits and Systems I: Regular Papers (Volume 68, Issue 10), DOI, PDF, Oct 2021. | |
Martin Rapp, Anuj Pathania, Tulika Mitra, and Jörg Henkel Neural Network-based Performance Prediction for Task Migration on S-NUCA Many-Cores in IEEE Transactions on Computers (Volume 70, Issue 10), DOI, PDF, Oct 2021. | |
Sami Salamin, Martin Rapp, Anuj Pathania, Arka Maity, Jörg Henkel, Tulika Mitra, and Hussam Amrouch Power-Efficient Heterogeneous Many-Core Design with NCFET Technology in IEEE Transactions on Computers (Volume 70, Issue 9), DOI, PDF, Sep 2021. | |
Jorge Castro-Godínez, Humberto Barrantes-García, Muhammad Shafique, Jörg Henkel AxLS: A Framework for Approximate Logic Synthesis based on Netlist Transformations in IEEE Transactions on Circuits and Systems II: Express Briefs (Volume 68, Issue 8), DOI, PDF, Aug 2021. | |
Hammam Kattan, Sung Woo Chung, Jörg Henkel, Hussam
Amrouch On-demand Mobile CPU Cooling with Thin-Film Thermoelectric Array in IEEE Micro (Volume 41, Issue 4), DOI, PDF, Jul-Aug 2021. | |
Deepak M. Mathew, Hammam Kattan, Christian Weis, Jörg Henkel, Norbert Wehn, Hussam Amrouch Longevity of Commodity DRAMs in Harsh Environments Through Thermoelectric Cooling in IEEE Access (Volume 9), DOI, PDF, May 2021. | |
Tanfer Alan, Andreas Gerstlauer, Jörg Henkel Cross-Layer Approximate Hardware Synthesis for Runtime Configurable Accuracy in IEEE Transactions on Very Large Scale Integration (VLSI) Systems (Volume 29, Issue 6), DOI, PDF, Apr 2021. | |
Sheriff Sadiqbatcha, Jinwei Zhang, Hengyang Zhao, Hussam Amrouch, Jörg Henkel, Sheldon X-D Tan Post-Silicon Heat-Source Identification and Machine-Learning-Based Thermal Modeling Using Infrared Thermal Imaging in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (Volume 40, Issue 4), DOI, PDF, Apr 2021. | |
Georgios Zervakis, Iraklis Anagnostopoulos, Sami Salamin, Yogesh S. Chauhan, Jörg Henkel, Hussam Amrouch Impact of NCFET on Neural Network Accelerators in IEEE Access (Volume 9), DOI, PDF, Mar 2021. | |
Guilherme Paim, Georgios Zervakis, Girish Pahwa, Yogesh S. Chauhan,
Eduardo A. C. da Costa, Sergio Bampi, Jörg Henkel, and Hussam Amrouch On the Resiliency of NCFET Circuits against Voltage Over-Scaling in IEEE Transactions on Circuits and Systems I: Regular Papers (Volume 68, Issue 4), DOI, PDF, Mar 2021. | |
Sami Salamin; Victor M. Van Santen; Martin Rapp; Jörg Henkel; Hussam Amrouch Minimizing Excess Timing Guard Banding Under Transistor Self-Heating Through Biasing at Zero-Temperature Coefficient in IEEE Access (Volume 9), DOI, PDF, Feb 2021. | |
Nadir Khan, Jorge Castro-Godínez, Shixiang Xue, Jörg Henkel, and Jürgen Becker Automatic Floorplanning and Standalone Generation of Bitstream-Level IP Cores in IEEE Transactions on Very Large Scale Integration Systems (TVLSI) (Volume 29, Issue 1), DOI, PDF, Jan 2021. |
Conferences | |
---|---|
G. Zervakis, O. Spantidi, I. Anagnostopoulos, H. Amrouch, and J. Henkel Control Variate Approximation for DNN Accelerators in 58th Design Automation Conference (DAC), San Francisco, DOI, PDF, Dec 5-9 2021. | |
Martin Rapp, Mohammed Bakr Sikal, Heba Khdr, Jörg Henkel SmartBoost: Lightweight ML-Driven Boosting for Thermally-Constrained Many-Core Processors in 58th Design Automation Conference (DAC), San Francisco, DOI, PDF, Dec 5-9 2021. | |
Ourania Spantidi, Georgios Zervakis, Iraklis Anagnostopoulos, Hussam
Amrouch, and Jörg Henkel Positive/Negative Approximate Multipliers for DNN Accelerators in IEEE/ACM 40th International Conference On Computer Aided Design (ICCAD), Virtual Conference, DOI, PDF, Nov 1-5 2021. | |
Hassan Nassar, Hanna AlZughbi, Dennis Gnad, Lars Bauer, Mehdi Tahoori and Jörg Henkel LoopBreaker: Disabling Interconnects to Mitigate Voltage-Based Attacks in Multi-Tenant FPGAs in IEEE/ACM 40th International Conference On Computer Aided Design (ICCAD), Virtual Conference, DOI, PDF, Nov 1-5 2021. | |
Victor M. van Santen, Simon Thomann, Yogesh S. Chauchan, Jörg Henkel, Hussam Amrouch Reliability-Driven Voltage Optimization for NCFET-based SRAM Memory Banks in IEEE 39th VLSI Test Symposium (VTS), DOI, PDF, Apr 25-28 2021. | |
Maryam Shirbeigi, Bardia Safaei, Aliasghar Mohammadsalehi, Amir Mahdi Hosseini Monazzah, Jörg Henkel, Alireza Ejlali A Cluster-Based and Drop-aware Extension of RPL to Provide Reliability in IoT Applications in 15th IEEE Systems Conference (SysCon'21), Virtual Conference, Vancouver, BC, Canada, DOI, PDF, Apr 15 - May 15 2021. | |
Jeferson González-Gómez, Steven Ávila-Ardón, Jonathan Rojas-González, Andres Stephen-Cantillano, Jorge Castro-Godínez, Carlos Salazar-García, Muhammad Shafique, Jörg Henkel TailoredCore: Generating Application-Specific RISC-V-based Cores in IEEE 12th Latin America Symposium on Circuits and System (LASCAS), DOI, PDF, Feb 21-24 2021. | |
Mikail Yayla, Kuan-Hsun Chen, Georgios Zervakis, Jörg Henkel, Jian-Jia
Chen, and Hussam Amrouch FeFET and NCFET for Future Neural Networks: Visions and Opportunities (special session) in 24th Design, Automation and Test in Europe Conference (DATE'21), Virtual Conference, DOI, PDF, Feb 1-5 2021. | |
Hassan Nassar, Lars Bauer and Jörg Henkel TiVaPRoMi: Time-Varying Probabilistic Row-Hammer Mitigation in IEEE/ACM 24th Design, Automation and Test in Europe Conference (DATE'21), Virtual Conference, DOI, PDF, Feb 1-5 2021. | |
Sami Salamin, Georgios Zervakis, Ourania Spantidi, Iraklis
Anagnostopoulos, Jörg Henkel and Hussam Amrouch Reliability-Aware Quantization for Anti-Aging NPUs in IEEE/ACM 24th Design, Automation and Test in Europe Conference (DATE'21), Virtual Conference, DOI, PDF, Feb 1-5 2021. | |
Mark Sagi, Martin Rapp, Heba Khdr, Yizhe Zhang, Nael Fasfous, Nguyen Anh
Vu Doan, Thomas Wild, Jörg Henkel and Andreas Herkersdorf Long Short-Term Memory Neural Network-based Power Forecasting of Multi-Core Processors in IEEE/ACM 24th Design, Automation and Test in Europe Conference (DATE'21), Virtual Conference, DOI, PDF, Feb 1-5 2021. | |
Georgios Zervakis, Hassaan Saadat, Hussam Amrouch, Andreas Gerstlauer,
Sri Parameswaran, and Jörg Henkel Approximate Computing for ML: State-of-the-art, Challenges and Visions (special session) in 26th Asia and South Pacific Design Automation Conference (ASPDAC ’21), DOI, PDF, Jan 18-21 2021. |
Editorials | |
---|---|
Jörg Henkel Stochastic Computing for Neuromorphic Applications in IEEE Design & Test (Volume 38, Issue 6), DOI, PDF, Dec 2021. | |
Jörg Henkel Cross-Layer Design of Cyber–Physical Systems in IEEE Design & Test (Volume 38, Issue 5), DOI, PDF, Oct 2021. | |
Jörg Henkel Machine Intelligence at the Edge in IEEE Design & Test (Volume 38, Issue 4), DOI, PDF, Jul 2021. | |
Jörg Henkel Top Picks in Hardware and Embedded Security in IEEE Design & Test (Volume 38, Issue 3), DOI, PDF, Jun 2021. | |
Jörg Henkel Open-Source Electronic Design Automation (EDA) Tools in IEEE Design & Test (Volume 38, Issue 2), DOI, PDF, Apr 2021. | |
Jörg Henkel From the EIC: Hack@DAC: Security Competition at the Design Automation Conference in IEEE Design & Test (Volume 38, Issue 1), DOI, PDF, Feb 2021. |
Books / Book Chapter | |
---|---|
Hussam Amrouch, Martin Rapp, Sami Salamin, Jörg Henkel Impact of Negative Capacitance Field-Effect Transistor (NCFET) on Many-Core Systems Chapter in A Journey of Embedded and Cyber-Physical Systems - Essays Dedicated to Peter Marwedel on the Occasion of His 70th Birthday, Springer International Publishing, DOI, PDF, 2021. |
Workshop | |
---|---|
Veera Venkata Ram Murali Krishna Rao Muvva, Martin Rapp, Jörg Henkel, Hussam Amrouch, Marilyn Wolf On the Effectiveness of Quantization and Pruning on the Performance of FPGAs-based NN Temperature Estimation in 2021 ACM/IEEE 3rd Workshop on Machine Learning for CAD (MLCAD), DOI, PDF, 30 Aug - 03 Sep 2021. |
WiP | |
---|---|
Tanfer Alan, Jorge Castro-Godínez and Jörg Henkel Multiple Approximate Instances in Neural Processing Units for Energy-Efficient Circuit Synthesis (WiP) in 2021 International Conference on Compilers, Architectures and Synthesis For Embedded Systems (CASES), DOI, PDF, Sep 2021. |
PhD Thesis | |
---|---|
Tanfer Alan Cross-Layer Automated Hardware Design for Accuracy-Configurable Approximate Computing Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2021. |
Year 2020
Journals | |
---|---|
Behnaz Pourmohseni, Michael Glaß, Jörg Henkel, Heba Khdr, Martin Rapp, Valentina Richthammer, Tobias Schwarzer, Fedor Smirnov, Jan Spieck, Jürgen Teich, Andreas Weichslgartner, Stefan Wildermann Hybrid Application Mapping for Composable Many-Core Systems: Overview and Future Perspective in Journal of Low Power Electronics and Applications (Volume 10, Issue 4), DOI, PDF, Nov 2020. | |
Mark Sagi, Nguyen Anh Vu Doan, Martin Rapp, Thomas Wild, Jörg
Henkel and Andreas Herkersdorf A Lightweight Nonlinear Methodology to Accurately Model Multi-Core Processor Power in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), ESWEEK Special Issue (Volume 39, Issue 11), DOI, PDF, Nov 2020. | |
Hussam Amrouch, Georgios Zervakis, Sami Salamin, Hammam Kattan, Iraklis Anagnostopoulos and Jörg Henkel NPU Thermal Management in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), ESWEEK Special Issue (Volume 39, Issue 11), DOI, PDF, Nov 2020. | |
Sami Salamin, Martin Rapp, Jörg Henkel, Andreas Gerstlauer and Hussam Amrouch Dynamic Power and Energy Management for NCFET-based Processors in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), ESWEEK Special Issue (Volume 39, Issue 11), DOI, PDF, Nov 2020. | |
Virinchi Roy Surabhi, Prashanth Krishnamurthy, Hussam Amrouch, Jörg Henkel, Ramesh Karri and Farshad Khorrami Exposing Hardware Trojans in Embedded Platforms via Short-Term Aging in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), ESWEEK Special Issue (Volume 39, Issue 11), DOI, PDF, Nov 2020. | |
Johann Knechtel, Satwik Patnaik, Mohammed Nabeel, Mohammed Ashraf, Yogesh S. Chauhan, Jörg Henkel, Ozgur Sinanoglu, and Hussam Amrouch Power Side-Channel Attacks in Negative Capacitance Transistor (NCFET) n IEEE Micro Magazine (Volume 40, Issue 6), DOI, PDF, Nov 2020. | |
Victor M. van Santen, Hussam Amrouch, Poja Sharma and Jörg Henkel On the Workload Dependence of Self-Heating in FinFET Circuits in IEEE Transactions on Circuits and Systems II (TCAS-II) (Volume 67, Issue 10), DOI, PDF, Oct 2020. | |
Jörg Henkel, Hussam Amrouch, Marilyn Wolf Introduction to the Special Issue on Machine Learning for CAD in ACM Transactions on Design Automation of Electronic Systems (TODAES) (Volume 25, Issue 5), DOI, PDF, Oct 2020. | |
Guilherme Paim, Leandro M. G. Rocha,Hussam Amrouch, Eduardo A. C. da Costa, Sergio Bampi and Jörg Henkel A Cross-layer Gate-Level-to-Application Co-simulation for Design Space Exploration of Approximate Circuits in HEVC Video Encoders in IEEE Transactions on Circuits and Systems for Video Technology (TCSVT) (Volume 30, Issue 10), DOI, PDF, Oct 2020. | |
Tatsuji Munaka, Farzad Samie, Lars Bauer, Jörg Henkel Improved Feature Extraction Method for Sound Recognition applied to Automatic Sorting of Recycling Wastes in Journal of Information Processing (JIP, Volume 28), DOI, PDF, Sep 2020. | |
Bardia Safaei, Aliasghar Mohammadsalehi, Kimia Talaei Khoosani, Saba Zarbaf, Amir Mahdi Hosseini Monazzah, Farzad Samie, Lars Bauer, Jörg Henkel, Alireza Ejlali Impacts of Mobility Models on RPL-Based Mobile IoT Infrastructures: An Evaluative Comparison and Survey in IEEE Access (Volume 8), DOI, PDF, Sep 2020. | |
Om Prakash, Aniket Gupta, Girish Pahwa, Jörg Henkel, Yogesh S Chauhan, Hussam Amrouch Impact of Interface Traps on Negative Capacitance Transistor: Device and Circuit Reliability in IEEE Journal of the Electron Devices Society (Volume 8), DOI, PDF, Sep 2020. | |
Zois-Gerasimos Tasoulas, Georgios Zervakis, Iraklis Anagnostopoulos, Hussam Amrouch, Jörg Henkel Weight-Oriented Approximation for Energy-Efficient Neural Network Inference Accelerators in IEEE Transactions on Circuits and Systems I: Regular Papers (Volume 67, Issue 12), DOI, PDF, Sep 2020. | |
Hussam Amrouch, Girish Pahwa, Amol D. Gaidhane, Chetan K. Dabhi, Florian Klemme, Om Prakash and Yogesh Singh Chauhan Impact of Variability on Processor Performance in Negative Capacitance FinFET Technology in IEEE Transactions on Circuits and Systems I: Regular Papers (Volume 67, Issue 9), DOI, PDF, Sep 2020. | |
Farzad Samie, Lars Bauer, Jörg Henkel Hierarchical Classification for Constrained IoT Devices: A Case Study on Human Activity Recognition in IEEE Internet of Things Journal (Volume 7, Issue 9), DOI, PDF, Sep 2020. | |
Syed Ali Asadullah Bukhari, Faiq Khalid, Osman Hasan, Muhammad Shafique, Jörg Henkel Toward Model Checking-Driven Fair Comparison of Dynamic Thermal Management Techniques under Multi-Threaded Workloads in IEEE Transactions on Computer-Aided Design of Integrated Circuits and System (TCAD) (Volume 39, Issue 8), DOI, PDF, Aug 2020. | |
Heba Khdr, Muhammad Shafique, Santiago Pagani, Andreas Herkersdorf, Jörg Henkel Combinatorial Auctions for Temperature-Constrained Resource Management in Manycores in IEEE Transactions on Parallel and Distributed Systems (TPDS) (Volume 31, Issue 7), DOI, PDF, Jul 2020. | |
V. Surabhi, P. Krishnamurthy, H. Amrouch, K. Basu, J. Henkel, R. Karri, and F. Khorrami Hardware Trojan Detection using Controlled Circuit Aging in IEEE Access (Volume 8), DOI, PDF, Apr 2020. | |
H. Kim, J. Kim, H. Amrouch, J. Henkel, A. Gerstlauer, K. Choi, and P. Hanmin Aging Compensation with Dynamic Computation Approximation in IEEE Transactions on Circuits and Systems I: Regular Paper (Volume 67, Issue 4), DOI, PDF, Apr 2020. | |
Georgios Zervakis, Hussam Amrouch and Jörg Henkel Design Automation of Approximate Circuits With Runtime Reconfigurable Accuracy in IEEE Access (Volume 8), DOI, PDF, Mar 2020. | |
Farzad Samie, Vasileios Tsoutsouras, Dimosthenis Masouros, Lars Bauer, Dimitrios Soudris, Jörg Henkel Fast Operation Mode Selection for Highly Efficient IoT Edge Devices in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (Volume 39, Issue 3), DOI, PDF, Mar 2020. | |
Martin Rapp, Mark Sagi, Anuj Pathania, Andreas Herkersdorf, Jörg Henkel Power- and Cache-Aware Task Mapping with Dynamic Power Budgeting for Many-Cores in IEEE Transactions on Computers (TC) (Volume 69, Issue 1), DOI, PDF, Jan 2020. | |
Santiago Pagani, Sai Manoj P. D., Axel Jantsch, Jörg Henkel Machine Learning for Power, Energy, and Thermal Management on Multicore Processors: A Survey in IEEE Transactions on CAD of Integrated Circuits and Systems (TCAD) (Volume 39, Issue 1), DOI, PDF, Jan 2020. |
Conferences | |
---|---|
Florian Klemme, Jannik Prinz, Victor M. van Santen, Jörg Henkel, Hussam Amrouch Modeling Emerging Technologies using Machine Learning: Challenges and Opportunities in IEEE/ACM 39th International Conference On Computer Aided Design (ICCAD), Virtual Conference, DOI, PDF, Nov 2-5 2020. | |
Florian Klemme, Yogesh Chauhan, Jörg Henkel, and Hussam Amrouch Cell Library Characterization using Machine Learning for Design Technology Co-Optimization in IEEE/ACM 39th International Conference On Computer Aided Design (ICCAD), Virtual Conference, DOI, Nov 2-5 2020. | |
Jorge Castro-Godínez, Julián Mateus-Vargas, Muhammad Shafique, Jörg Henkel AxHLS: Design Space Exploration and High-Level Synthesis of Approximate Accelerators using Approximate Functional Units and Analytical Models in IEEE/ACM 39th International Conference On Computer Aided Design (ICCAD), Virtual Conference, Nov 2-5 2020. | |
G. Bajpai, A. Gupta, O. Prakash, G. Pahwa, J. Henkel, Y. Chauhan, and H. Amrouch Impact of Radiation on Negative Capacitance FinFET in Proceedings of the IEEE 58th International Reliability Physics Symposium (IRPS'20), Dallas, Texas, USA, DOI, PDF, Apr 28 - May 30 2020. | |
Victor M. van Santen, S. Thomann, C. Pasupuleti, P. Genssler, N. Gangwar, U. Sharma, J. Henkel, S. Mahapatra, and H. Amrouch BTI and HCD Degradation in a Complete 32X64 bit SRAM Array including Sense Amplifyers and Write Drivers under Processor Activity in Proceedings of the IEEE 58th International Reliability Physics Symposium (IRPS'20), Dallas, Texas, USA, DOI, PDF, Apr 2020. | |
Muhammad Sarmad Saeed, Moeez Akmal, Hareem Shafi, Muhammad Usama Sardar, Osman Hasan, Heba Khdr and Jörg Henkel Comparative Framework for the Analysis of Thermal and Resource Management Algorithms for Multi-Core Architectures in The 21st International Symposium on Quality Electronic Design (ISQED), Santa Clara, California, USA, DOI, PDF, Mar 26-29 2020. | |
Om Prakash, Aniket Gupta, Girish Pahway, Jörg Henkel, Yogesh S. Chauhany and Hussam Amrouch Impact of Interface Traps Induced Degradation on Negative Capacitance FinFET in IEEE Electron Devices Technology & Manufacturing Conference (EDTM), Penang, Malaysi, DOI, PDF, Mar 16-18 2020. | |
Tanfer Alan, Andreas Gerstlauer, Jörg Henkel Runtime Accuracy-Configurable Approximate Hardware Synthesis Using Logic Gating and Relaxation (IP) in IEEE/ACM 23rd Design, Automation and Test in Europe Conference (DATE'20), Grenoble, France, DOI, PDF, Mar 9-13 2020. | |
Om Prakash, S. Manhas, Jörg Henkel and Hussam Amrouch Impact of NBTI Aging on Self-Heating in Nanowire FET in IEEE/ACM 23rd Design, Automation and Test in Europe Conference (DATE'20), Grenoble, France, DOI, PDF, Mar 9-13 2020. | |
Sami Salamin, Martin Rapp, Hussam Amrouch, Andreas Gerstlauer and Jörg Henkel Energy Optimization in NCFET-based Processors (IP) in IEEE/ACM 23rd Design, Automation and Test in Europe Conference (DATE'20), Grenoble, France, DOI, PDF, Mar 9-13 2020. | |
Sheriff Sadiqbatcha, Yue Zhao, Jinwei Zhang, Hussam Amrouch, Jörg Henkel, Sheldon Tan Machine Learning Based Online Full-Chip Heatmap Estimation in 25th Asia and South Pacific Design Automation Conference, ASP-DAC, Beijing, China, DOI, PDF, Jan 13-16 2020. | |
Victor M. van Santen, Paul R. Genssler, Om Prakash, Simon Thomann, Jörg Henkel and Hussam Amrouch Impact of Self-Heating on Performance, Power and Reliability in FinFET Technology (special session) in 25th Asia and South Pacific Design Automation Conference, ASP-DAC, Beijing, China, DOI, PDF, Jan 13-16 2020. | |
Hussam Amrouch, Victor M. van Santen, Girish Pahwa, Yogesh Chauhan and Jörg Henkel NCFET to Rescue Technology Scaling: Opportunities and Challenges (special session) in 25th Asia and South Pacific Design Automation Conference, ASP-DAC, Beijing, China, DOI, PDF, Jan 13-16 2020. |
Editorials | |
---|---|
Jörg Henkel From the EIC: Education for Cyber-Physical Systems in IEEE Design & Test (Volume 37, Issue 6), DOI, PDF, Dec 2020. | |
Jörg Henkel From the EIC: From Smartphones to Wearable Devices in IEEE Design & Test (Volume 37, Issue 5), DOI, PDF, Oct 2020. | |
Jörg Henkel From the EIC: Special Issue on VTS in IEEE Design & Test (Volume 37, Issue 4), DOI, PDF, Sep 2020. | |
Jörg Henkel From the EIC: Special Issue on Image Processing, Correspondsing Hardware Architectures, and EDA Tools in IEEE Design & Test (Volume 37, Issue 3), DOI, PDF, Jun 2020. | |
Jörg Henkel From the EIC: Robust Machine Learning. in IEEE Design & Test (Volume 37, Issue 2), DOI, PDF, Apr 2020. | |
Jörg Henkel From the EIC: Embedded Intelligence in the Internet-of-Things. in IEEE Design & Test (Volume 37, Issue 1), DOI, PDF, Feb 2020. |
arXiv | |
---|---|
Martin Rapp, Ramin Khalili, Jörg Henkel Distributed Learning on Heterogeneous Resource-Constrained Devices in arXiv, DOI, PDF, Jun 2020. | |
Christian Hakert, Kuan-Hsun Chen, Paul R. Genssler, Georg von der Brüggen, Lars Bauer, Hussam Amrouch, Jian-Jia Chen, Jörg Henkel SoftWear: Software-Only In-Memory Wear-Leveling for Non-Volatile Main Memory in arXiv, PDF, Apr 2020. | |
Shuyuan Yu, Han Zhou, Shaoyi Peng, Hussam Amrouch, Jörg Henkel, Sheldon X.-D. Tan
Run-Time Accuracy Reconfigurable Stochastic Computing for Dynamic Reliability and Power Management in arXiv, PDF, Apr 2020. |
Books / Book Chapter | |
---|---|
Jörg Henkel, Nikil Dutt Dependable Embedded Systems Springer International Publishing, DOI, PDF, 2020. | |
Marvin Damschen, Martin Rapp, Lars Bauer, Jörg Henkel i-Core: A runtime-reconfigurable processor platform for cyber-physical systems Chapter in Embedded, Cyber-Physical, and IoT Systems: Smart Cameras, Hardware/Software Co-Design, and Multimedia — Essays Dedicated to Marilyn Wolf on the Occasion of Her 60th Birthday, Springer International Publishing, DOI, PDF, 2020. | |
Jian-Jia Chen, Jörg Henkel Our Perspectives Chapter in "Dependable Embedded Systems", Springer International Publishing, DOI, PDF, 2020. | |
Victor M. van Santen, Hussam Amrouch, Thomas Wild, Jörg Henkel, Andreas Herkersdorf Thermal Management and Communication Virtualization for Reliability Optimization in MPSoCs Chapter in "Dependable Embedded Systems", Springer International Publishing, DOI, PDF, 2020. | |
Andreas Herkersdorf, Michael Engel, Michael Glaß, Jörg Henkel, Veit B. Kleeberger, Johannes M. Kühn, Peter Marwedel, Daniel Mueller-Gritschneder, Sani R. Nassif, Semeen Rehman, Wolfgang Rosenstiel, Ulf Schlichtmann, Muhammad Shafique, Jürgen Teich, Norbert Wehn, Christian Weis RAP Model—Enabling Cross-Layer Analysis and Optimization for System-on-Chip Resilience Chapter in "Dependable Embedded Systems", Springer International Publishing, DOI, PDF, 2020. | |
Lars Bauer, Hongyan Zhang, Michael A. Kochte,Eric Schneider, Hans-Joachim Wunderlich, Jörg Henkel Online Test Strategies and Optimizations for Reliable Reconfigurable Architectures Chapter in "Dependable Embedded Systems", Springer International Publishing, DOI, PDF, 2020. | |
Florian Kriebel, Kuan-Hsun Chen, Semeen Rehman, Jörg Henkel, Jian-Jia Chen, Muhammad Shafique Dependable Software Generation and Execution on Embedded Systems Chapter in "Dependable Embedded Systems", Springer International Publishing, DOI, PDF, 2020. |
Workshop | |
---|---|
Martin Rapp, Omar Elfatairy, Marilyn Wolf, Jörg Henkel, Hussam Amrouch Towards NN-based Online Estimation of the Full-Chip Temperature and the Rate of Temperature Change in Proceedings of the 2020 ACM/IEEE Workshop on Machine Learning for CAD, DOI, PDF, Nov 2020. | |
Jorge Castro-Godínez, Humberto Barrantes-García, Muhammad Shafique, Jörg Henkel AxLS: An Open-Source Framework for Netlist Transformation Approximate Logic Synthesis in 3rd Workshop on Open-Source EDA Technology (WOSET), (Co-located with ICCAD '20), Virtual event, Nov 5 2020. | |
Florian Schmaus, Sebastian Maier, Tobias Langer, Jonas Rabenstein, Timo Hönig, Lars Bauer, Jörg Henkel, Wolfgang Schröder-Preikschat System Software for Resource Arbitration on Future Many-* Architectures in IEEE Workshop on Resource Arbitration for Dynamic Runtimes (RADR) in conjunction with IPDPS 2020, New-Orleans, LA, USA, DOI, PDF, May 18 2020. | |
Sami Salamin, Martin Rapp, Jörg Henkel und Hussam Amrouch Negative Capacitance Transistor to Rescue Technology Scaling Workshop Testmethoden und Zuverlässigkeit von Schaltungen und Systemen (TuZ 2020), Feb 2020. | |
Florian Schmaus, Sebastian Maier, Tobias Langer, Jonas Rabenstein, Lars Bauer, Jörg Henkel, Wolfgang Schröder-Preikschat Towards Taming the Computing Continuum: System Software for Future Many-Core Architectures in Workshop on the Computing Continuum in conjunction with HiPEAC 2020, Bologna, Italy, Jan 20 2020. |
WiP | |
---|---|
Shuyuan Yu, Han Zhou, Shaoyi Peng, Hussam Amrouch, Jörg Henkel, Sheldon X.-D. Tan Run-Time Accuracy Reconfigurable Stochastic Computing for Dynamic Reliability and Power Management: Work-in-Progress. in 2020 International Conference on Compilers, Architectures and Synthesis For Embedded Systems (CASES), Virtual Conference, DOI, PDF, Sep, 20-25 2020. | |
Jorge Castro-Godínez, Muhammad Shafique, Jörg Henkel Towards Quality-Driven Approximate Software Generation for Accurate Hardware (WiP) in 2020 International Conference on Compilers, Architectures and Synthesis For Embedded Systems (CASES), Virtual Conference, DOI, PDF, Sep, 20-25 2020. |
Other | |
---|---|
Deykel Hernández-Araya, Jorge Castro-Godínez, Muhammad Shafique and Jörg Henkel AUGER: A Tool for Generating Approximate Arithmetic Circuits in 11th IEEE Latin American Symposium on Circuits and Systems – LASCAS 2020, San José, Costa Rica, DOI, PDF, Feb 25-28 2020. | |
Jorge Castro-Godínez, Deykel Hernández-Araya, Muhammad Shafique and Jörg Henkel Approximate Acceleration for CNN-based Applications on IoT Edge Devices in 11th IEEE Latin American Symposium on Circuits and Systems – LASCAS 2020, San José, Costa Rica, DOI, PDF, Feb 25-28 2020. |
PhD Thesis | |
---|---|
Castro-Godínez, Jorge Automated Design of Approximate Accelerators Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2020. |
Year 2019
Journals | |
---|---|
Sai Manoj Pudukotai Dinakarrao, Arun Joseph, Anand Haridass, Muhammad Shafique, Jörg Henkel, Houman Homayoun Application and Thermal-reliability-aware Reinforcement Learning Based Multi-core Power Management in ACM Journal on Emerging Technologies in Computing Systems (JETC) (Volume 15, Issue 4), DOI, PDF, Dec 2019. | |
Hussam Amrouch, Borna Ehsani, Andreas Gerstlauer, and Jörg Henkel On the Efficiency of Voltage Overscaling under Temperature and Aging Effects in IEEE Transactions on Computers (TC'19) (Volume 68, Issue 11), DOI, PDF, Nov 2019. | |
Jorge Castro-Godínez, Muhammad Shafique, Jörg Henkel ECAx: Balancing Error Correction Costs in Approximate Accelerators in ACM Transaction on Embedded Computing Systems (TECS) (Volume 18, Issue 5S), DOI, PDF, Oct 2019. | |
Felipe Martin Sampaio, Bruno Zatt, Muhammad Shafique, Jörg Henkel, Sergio Bampi Hybrid Scratchpad Video Memory Architecture for Energy-Efficient Parallel HEVC in IEEE Transactions on Circuits and Systems for Video Technology (Volume 29, Issue 10), DOI, PDF, Oct 2019. | |
Victor M. van Santen, Hussam Amrouch, Jörg Henkel Modeling and Evaluating the Gate Length Dependence of BTI in IEEE Trans. on Circuits and Systems (Volume 66, Issue 9), DOI, PDF, Sep 2019. | |
Sami Salamin, Victor M. van Santen, Hussam Amrouch, Narendra Parihar, Souvik Mahapatra, and Jörg Henkel Modeling the Interdependences between Voltage Fluctuation and BTI Aging in IEEE Transactions on Very Large Scale Integration Systems (TVLSI) (Volume 27, Issue 7), DOI, PDF, Jul 2019. | |
Hussam Amrouch, Sami Salamin, Girish Pahwa, Amol Gaidhane, Jörg Henkel,Yogesh Singh Chauhan Unveiling the Impact of IR-drop on Performance Gain in NCFET-based Processors in IEEE Transactions on Electron Devices (TED) (Volume 66, Issue 7), DOI, PDF, Jul 2019. | |
Victor M. van Santen, Hussam Amrouch and Jörg Henkel Modeling and Mitigating Time-Dependent Variability from the Physical Level to the Circuit Level in IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) (Volume 66, Issue 7), DOI, PDF, Jul 2019. | |
Farzad Samie, Lars Bauer, Jörg Henkel From Cloud Down to Things: An Overview of Machine Learning in Internet of Things in IEEE Internet of Things Journal (IoT-J) (Volume 6, Issue 3), DOI, PDF, Jun 2019. | |
Anuj Pathania, Jörg Henkel HotSniper: Sniper-Based Toolchain for Many-Core Thermal Simulations in Open Systems in IEEE Embedded System Letters (IEEE ESL) (Volume 66, Issue 7), DOI, PDF, Jun 2019. | |
Farzad Samie, Vasileios Tsoutsouras, Lars Bauer, Sotirios Xydis,Dimitrios Soudris, and Jörg Henkel Oops: Optimizing Operation-mode Selection for IoT Edge Devices in ACM Transactions on Internet Technology (TOIT), Special Section on Fog, Edge, and Cloud Integration for Smart Environments (Volume 19, Issue 2), DOI, PDF, Apr 2019. | |
Victor M. van Santen, Hussam Amrouch and Jörg Henkel New Worst-Case Timing for Standard Cells under Aging Effects in IEEE Transactions on Device and Materials Reliability (T-DMR) (Volume 19, Issue 1), DOI, PDF, Mar 2019. | |
Subrat Mishra, Hussam Amrouch, Jerin Joe, Chetan K Dabhi, Karansingh Thakor, Yogesh S Chauhan, Jörg Henkel and Souvik Mahapatra Device to Circuit Framework for Activity Dependent NBTI Aging in Digital Circuits in IEEE Transactions on Electron Devices (TED) (Volume , Issue ) (Volume 66, Issue 1), DOI, PDF, Jan 2019. | |
Subrat Mishra, Hussam Amrouch, Jerin Joe, Chetan K Dabhi, Karansingh Thakor, Yogesh S Chauhan, Jörg Henkel and Souvik Mahapatra A Simulation Study of NBTI Impact on 14nm node FinFET Technology for Logic Applications: Device Degradation to Circuit Level Interaction in IEEE Transactions on Electron Devices (TED) (Volume 66, Issue 1), DOI, PDF, Jan 2019. | |
Behnam Khaleghi, Behzad Omidi, Hussam Amrouch, Jörg Henkel and Hossein Asadi Estimating and Mitigating Aging Effects in Routing Network of FPGAs in IEEE Transactions on VLSI Systems (TVLSI) (Volume 27, Issue 3), DOI, PDF, Jan 2019. | |
Heba Khdr, Hussam Amrouch and Jörg Henkel Dynamic Guardband Selection: Thermal-Aware Optimization for Unreliable Multi-Core Systems in IEEE Transactions on Computers (TC) (Volume 68, Issue 1), DOI, PDF, Jan 2019. |
Conferences | |
---|---|
Behnaz Pourmohseni, Fedor Smirnov, Heba Khdr, Stefan Wildermann, Jürgen Teich, Jörg Henkel Thermally Composable Hybrid Application Mapping for Real-Time Applications in Heterogeneous Many-Core Systems in IEEE Real-Time Systems Symposium (RTSS), Hong Kong, DOI, PDF, Dec 3-6 2019. | |
Jörg Henkel, Hussam Amrouch, Martin Rapp, Sami Salamin, Dayane Reis, Di Gao , Xunzhao Yin, Michael Niemier, Cheng Zhuo, X. Sharon Hu, Hsiang-Yun Cheng, Chia-Lin Yang The Impact of Emerging Technologies on Architectures and System-level Management (special session) in IEEE/ACM 38th International Conference on Computer-Aided Design (ICCAD),Westminster, CO, DOI, PDF, Nov 4-7 2019. | |
Marvin Damschen, Lars Bauer, Jörg Henkel WCET Guarantees for Opportunistic Runtime Reconfiguration in IEEE/ACM 38th International Conference on Computer-Aided Design (ICCAD), Westminster, CO, DOI, PDF, Nov 3-4 2019. | |
Nadir Khan, Sven Nitzsche, Raffaela Frank, Lars Bauer, Jörg Henkel, Jürgen Becker Amplifying Side Channel Leakage by Hard-ware Modification of Xilinx Zynq-7 FPGA Evaluation Boards in 13th International Conference on Emerging Security Information, Systems and Technologies (SECURWARE), Oct 27-31 2019. | |
Lars Bauer, Marvin Damschen, Dirk Ziegenbein, Arne Hamann, Alessandro Biondi, Giorgio Buttazzo, Jörg Henkel Analyses and Architectures for Mixed-Critical Systems: Industry Trends and Research Perspective (Special Session) in International Conference on Embedded Software (EMSOFT’19), New York City, NY, USA, DOI, PDF, Oct 13-18 2019. | |
Lei Han, Hussam Amrouch, Zili Shao, Jörg Henkel Rebirth-FTL: Lifetime optimization via Approximate Storage for NAND Flash in IEEE Non-Volatile Memory Systems and Applications Symposium (NVMSA), Hangzhou, China, DOI, PDF, Aug 18-21 2019. | |
Sami Salamin, Martin Rapp, Hussam Amrouch, Girish Pahwa, Yogesh S. Chauhan, and Jörg Henkel NCFET-Aware Voltage Scaling in IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED'19), Lausanne, Switzerland, DOI, PDF, Jul 29-31 2019. | |
Hussam Amrouch, Victor M. van Santen, Om Prakash, Hammam Kattan, Sami Salamin, Simon Thomann, and Jörg Henkel Reliability Challenges with Self-Heating and Aging in FinFET Technology in IEEE 25th International Symposium on On-Line Testing And Robust System Design (IOLTS'19), (special session), Rhodes Island, Greece, DOI, PDF, Jul 1-3 2019. | |
Martin Rapp, Sami Salamin, Hussam Amrouch, Girish Pahwa, Yogesh S. Chauhan and Jörg Henkel Performance, Power and Cooling Trade-Offs with NCFET-based Many-Cores in ACM/EDAC/IEEE 56th Design Automation Conference (DAC), Las Vegas, USA, DOI, PDF, Jun 2-6 2019. | |
Frank Sill Torres, Hussam Amrouch, Jörg Henkel and Rolf Drechsler Impact of NBTI on Increasing the Susceptibility of FinFET to Radiation in IEEE 56th International Reliability Physics Symposium (IRPS), CA, USA, DOI, PDF, Mar 31-Apr 4 2019. | |
Jongho Kim, Heesu Kim, Hussam Amrouch, Jörg Henkel, Andreas Gerstlauer, Kiyoung Choi Aging Gracefully with Approximation in IEEE International Symposium on Circuits and Systems (ISCAS), Japan, DOI, PDF, Mar 26-29 2019. | |
Sami Salamin, Hussam Amrouch and Jörg Henkel Selecting the Optimal Energy Point in Near-Threshold Computing (regular) in IEEE/ACM 22nd Design, Automation and Test in Europe Conference (DATE'19), Florence, Italy, DOI, PDF, Mar 25-29 2019. | |
Manolis Katsaragakis, Dimosthenis Masouros, Vasileios Tsoutsouras, Farzad Samie, Lars Bauer, Jörg Henkel and Dimitrios Soudris DMRM: Distributed Market-Based Resource Management of Edge Computing Systems in IEEE/ACM 22nd Design, Automation and Test in Europe Conference (DATE'19), Florence, Italy, DOI, PDF, Mar 25-29 2019. | |
Sheriff Sadiqbatcha, Hengyang Zhao, Hussam Amrouch, Jörg Henkel and Sheldon Tan Hot Spot Identification and System Parameterized Thermal Modeling for Multi-Core Processors Through Infrared Thermal Imaging (regular) in IEEE/ACM 22nd Design, Automation and Test in Europe Conference (DATE'19), Florence, Italy, DOI, PDF, Mar 25-29 2019. | |
Jörg Henkel, Heba Khdr, Martin Rapp Smart Thermal Management for Heterogeneous Multicores (Special Session) in IEEE/ACM 22nd Design, Automation and Test in Europe Conference (DATE'19), Florence, Italy, DOI, PDF, Mar 25-29 2019. | |
Sajjad Hussain, Muhammad Shafique and Jörg Henkel Thermal-Awareness in a Soft Error Tolerant Architecture (IP) in IEEE/ACM 22nd Design, Automation and Test in Europe Conference (DATE'19), Florence, Italy, DOI, PDF, Mar 25-29 2019. | |
Martin Rapp, Anuj Pathania, Tulika Mitra and Jörg Henkel Prediction-Based Task Migration on S-NUCA Many-Cores (IP) in IEEE/ACM 22nd Design, Automation and Test in Europe Conference (DATE'19), Florence, Italy, DOI, PDF, Mar 25-29 2019. | |
Sajjad Hussain, Muhammad Shafique and Jörg Henkel A Fine-Grained Soft Error Resilient Architecture under Power Considerations (IP) in IEEE/ACM 22nd Design, Automation and Test in Europe Conference (DATE'19), Florence, Italy, DOI, PDF, Mar 25-29 2019. |
Editorials | |
---|---|
Jörg Henkel From the EIC: Secure Automotive Systems. in IEEE Design & Test (Volume 36, Issue 6), DOI, PDF, Dec 2019. | |
Jörg Henkel From the EIC: Smart and Autonomous Systems. in IEEE Design & Test (Volume 36, Issue 5), DOI, PDF, Oct 2019. | |
Jörg Henkel From the EIC: Circuits and Systems for VLSI IoT Devices in IEEE Design & Test (Volume 36, Issue 4), DOI, PDF, Aug 2019. | |
Jörg Henkel From the EIC: Architecture Advances Enabled by Emerging Technologies in IEEE Design & Test (Volume 36, Issue 3), DOI, PDF, Jun 2019. | |
Jörg Henkel From the EIC: Intelligent Resource-Constrained Sensor Nodes in IEEE Design & Test (Volume 36, Issue 2), DOI, PDF, Apr 2019. | |
Jörg Henkel From the EIC: Special Section on Test. in IEEE Design & Test (Volume 36, Issue 1), DOI, PDF, Feb 2019. |
Books / Book Chapter | |
---|---|
Lars Bauer, Hongyan Zhang, Michael A. Kochte, Eric Schneider, Hans-Joachim Wunderlich, Jörg Henkel Advances in Hardware Reliability of Reconfigurable Many-core Embedded Systems Chapter in Many-Core Computing: Hardware and software, DOI, PDF, 2019. | |
Farzad Samie, Lars Bauer, Jörg Henkel Edge Computing for Smart Grid: An Overview on Architectures and Solutions Chapter in IoT for Smart Grids, Springer International Publishing, DOI, PDF, 2019. | |
Hussam Amrouch, Heba Khdr and Jörg Henkel Aging Effects: From Physics to CAD Chapter in Harnessing Performance Variability in Embedded and High-performance Many/Multi-core Platforms, Springer International Publishing AG, DOI, PDF, 2019. | |
Semeen Rehman, Bharath Srinivas Prabakaran, Walaa El-Harouni, Muhammad Shafique, Jörg Henkel Heterogeneous Approximate Multipliers: Architectures and Design Methodologies Chapter in Approximate Circuits, Springer International Publishing, DOI, PDF, 2019. |
Workshop | |
---|---|
Martin Rapp, Hussam Amrouch, Marilyn Wolf, Jörg Henkel Machine Learning Techniques to Support Many-Core Resource Management: Challenges and Opportunities in ACM/IEEE Workshop on Machine Learning for CAD (MLCAD), Canmore, Canada, DOI, PDF, Sep 3-4 2019. | |
Christian Hakert, Mikail Yayla, Kuan-Hsun Chen, Georg von der Brüggen, Jian-Jia Chen, Sebastian Buschjäger, Katharina Morik, Paul R Genssler, Lars Bauer, Hussam Amrouch, Jörg Henkel Stack Usage Analysis for Efficient Wear Leveling in Non-Volatile Main Memory Systems in 2019 ACM/IEEE 1st Workshop on Machine Learning for CAD (MLCAD), DOI, PDF, Sep 3-4 2019. |
Other | |
---|---|
Marvin Damschen, Lars Bauer, Jörg Henkel Worst-Case Execution Time Guarantees for Runtime-Reconfigurable Architectures Ph.D. Forum at IEEE/ACM 22nd Design, Automation and Test in Europe Conference (DATE'19), Mar 25-29 2019. |
Year 2018
Journals | |
---|---|
Hussam Amrouch, Girish Pahwa, Amol Gaidhane, Jörg Henkel, Yogesh Singh Chauhan Negative Capacitance Transistor to Address the Fundamental Limitations in Technology Scaling: Processor Performance in IEEE Access (Volume 6), DOI, PDF, Dec 2018. | |
Enrico Rossi, Marvin Damschen, Lars Bauer, Giorgio Buttazzo, and Jörg Henkel Preemption of the Partial Reconfiguration Process to Enable Real-Time Computing with FPGAs in ACM Transactions on Reconfigurable Technology and Systems (TRETS) (Volume 11, Issue 2), DOI, PDF, Nov 2018. | |
Marvin Damschen, Frank Mueller, Jörg Henkel Co-Scheduling on Fused CPU-GPU Architectures with Shared Last Level Caches in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (Volume 37, Issue 11), ESWEEK Special Issue, DOI, PDF, Nov 2018. | |
Tanfer Alan, Jörg Henkel SlackHammer: Logic Synthesis for Graceful Errors Under Frequency Scaling in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (Volume 37, Issue 11), ESWEEK Special Issue, DOI, PDF, Nov 2018. Additional material: Poster (pdf), Presentation (pptx) | |
Heba Khdr, Hussam Amrouch and Jörg Henkel Aging-Aware Boosting in IEEE Transactions on Computers (TC) (Volume 67, Issue 9), DOI, PDF, Sep 2018. | |
Farzad Samie, Vasileios Tsoutsouras, Lars Bauer, Sotiris Xydis, Dimitrios Soudris, and Jörg Henkel Distributed Trade-based Edge Device Management in Multi-gateway IoT in ACM Transactions on Cyber-Physical Systems (TCPS) (Volume 2, Issue 3), Special Issue on Internet of Things, DOI, PDF, Jul 2018. | |
Sheldon X.-D. Tan, Hussam Amrouch, Taeyoung Kim, Zeyu Sun, Chase Cook, Jörg Henkel Recent Advances in EM and BTI induced Reliability Modeling, Analysis and Optimizatio (invited) in Integration, the VLSI Journal (Volume 60), DOI, PDF, Jan 2018. | |
Victor M. van Santen, Javier Martin-Martinez, Hussam Amrouch, Montserrat Nafria, Jörg Henkel Reliability in Super- and Near-Threshold Computing: A Unified Model of RTN, BTI and PV in IEEE Transactions on Circuits and Systems I (TCAS-I) (Volume 65, Issue 1), DOI, PDF, Jan 2018. |
Conferences | |
---|---|
Hussam Amrouch, Victor M. van Santen and Jörg Henkel Estimating and Optimizing BTI Aging Effects: From Physics to CAD (Special Session) in IEEE/ACM 37th International Conference on Computer-Aided Design (ICCAD), San Diego, CA, DOI, PDF, Nov 5-8 2018. | |
Jörg Henkel, Jürgen Teich, Stefan Wildermann and Hussam Amrouch Dynamic Resource Management for Heterogeneous Many-Cores (Special Session) in IEEE/ACM 37th International Conference on Computer-Aided Design (ICCAD), San Diego, CA, DOI, PDF, Nov 5-8 2018. | |
Behzad Boroujerdian, Hussam Amrouch, Jörg Henkel and Andreas Gerstlauer Trading off temperature guardbands via adaptive approximations in International Conference on Computer Design (ICCD), Florida, USA, DOI, PDF, Oct 7-10 2018. | |
Martin Rapp, Anuj Pathania and Jörg Henkel Pareto-Optimal Power- and Cache-Aware Task Mapping for Many-Cores with Distributed Shared Last-Level Cache in ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED'18), Bellevue, Washington, USA, DOI, PDF, Jul 23-25 2018. | |
Victor M. van Santen, Hussam Amrouch and Jörg Henkel Reliability Estimations of Large Circuits in Massively-Parallel GPU-SPICE (Special Session) in 24th IEEE International Symposium on On-Line Testing and Robust System Design (IOLTS), Costa Brava, Spain, DOI, PDF, Jul 2-4 2018. | |
Heba Khdr, Hussam Amrouch and Jörg Henkel Aging-Constrained Performance Optimization for Multi Cores in ACM/EDAC/IEEE 55th Design Automation Conference (DAC), San Francisco, CA, USA, DOI, PDF, Jun 24-28 2018. | |
Anuj Pathania, Heba Khdr, Muhammad Shafique, Tulika Mitra and Jörg Henkel QoS-Aware Stochastic Power Management for Many-Cores in ACM/EDAC/IEEE 55th Design Automation Conference (DAC), San Francisco, CA, USA, DOI, PDF, Jun 24-28 2018. | |
Anuj Pathania, Jörg Henkel Task Scheduling for Many-Cores with S-NUCA Caches in IEEE/ACM 21th Design, Automation and Test in Europe Conference (DATE’18), Dresden, Germany, DOI, PDF, Mar 19-23 2018. | |
Farzad Samie, Sebastian Paul, Lars Bauer, and Jörg Henkel Highly Efficient and Accurate Seizure Prediction on Constrained IoT devices in IEEE/ACM 21th Design, Automation and Test in Europe Conference (DATE’18), Dresden, Germany, DOI, PDF, Mar 19-23 2018. | |
Jorge Castro-Godínez, Sven Esser, Muhammad Shafique, Santiago Pagani, Jörg Henkel Compiler-Driven Error Analysis for Designing Approximate Accelerators in IEEE/ACM 21th Design, Automation and Test in Europe Conference (DATE’18), Dresden, Germany, DOI, PDF, Mar 19-23 2018. | |
Victor M. van Santen, Javier Diaz-Fortuny, Hussam Amrouch, Javier Martin-Martinez,Rosana Rodriguez, Rafael Castro-Lopez, Elisenda Roca, Francisco V. Fernandez, Jörg Henkel and Montserrat Nafria Weighted Time Lag Plot Defect Parameter Extraction and GPU-based BTI Modeling for BTI Variability in IEEE 55th International Reliability Physics Symposium (IRPS), San Francisco , CA, USA, DOI, PDF, Mar 11-15 2018. |
Editorials | |
---|---|
Jörg Henkel From the EIC: Managing Electric Vehicles. in IEEE Design & Test (Volume 35, Issue 6), DOI, PDF, Dec 2018. | |
Jörg Henkel From the EIC: Self-Awareness in Systems on Chip, Part II. in IEEE Design & Test (Volume 35, Issue 5), DOI, PDF, Oct 2018. | |
Jörg Henkel From the EIC: Time-Critical Systems Design, Part II. in IEEE Design & Test (Volume 35, Issue 4), DOI, PDF, Aug 2018. | |
Jörg Henkel From the EIC: Test for Automotive. in IEEE Design & Test (Volume 35, Issue 3), DOI, PDF, Jun 2018. | |
Jörg Henkel From the EIC: Time-Critical Systems Design. in IEEE Design & Test (Volume 35, Issue 2), DOI, PDF, Apr 2018. | |
Jörg Henkel From the EIC: Design and Test of Energy-Efficient, High-Performance, and Secure Computing Technologies via Accelerators in IEEE Design & Test (Volume 35, Issue 1), DOI, PDF, Feb 2018. | |
Sybille Hellebrand, Jörg Henkel, Anand Raghunathan, Hans-Joachim Wunderlich Guest Editors' Introduction. in IEEE Embedded Systems Letters (Volume 10, Issue 1), DOI, PDF, Feb 2018. | |
Petru Eles, Jörg Henkel Guest Editorial for the Special Issue of ESWEEK 2016 in ACM Transactions on Embedded Computing Systems (TECS. Vol 17, Issue 1), DOI, PDF, Jan 2018. |
Books / Book Chapter | |
---|---|
Heba Khdr, Santiago Pagani, Muhammad Shafique, Jörg Henkel Dark Silicon Aware Resource Management for Many-Core Systems Chapter in book Advances in Computers: Dark Silicon and Future of On-chip Systems. Elsevier, 2018. | |
Pagani, S., Chen, J.-J., Shafique, M., Henkel, J. Advanced Techniques for Power, Energy, and Thermal Management for Clustered Manycores Springer International Publishing, 2018. | |
Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel Energy Efficient Embedded Video Processing Systems Springer International Publishing, 2018. |
Other | |
---|---|
Vanchinathan Venkatramani, Anuj Pathania, Muhammad Shafique, Tulika Mitra, Jörg Henkel Scalable Dynamic Task Scheduling on Adaptive Many-Core (invited) in IEEE 12th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), Hanoi, Vietnam, DOI, PDF, Sep 12-14 2018. | |
Hussam Amrouch, Behnam Khaleghi and Jörg Henkel Voltage Adaptation under Temperature Variation (Special Session) in 15th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD), Prague, Czech Republic, DOI, PDF, Jul 2-5 2018. | |
Jorge Castro-Godínez, Jörg Henkel Error Propagation Estimation on Approximate Designs with Compiler-Driven Support in 3rd. Workshop on Approximate Computing (AxC 18), Special Session, Bremen, German, May 31-Jun 1 2018. |
PhD Thesis | |
---|---|
Damschen, Marvin Worst-Case Execution Time Guarantees for Runtime-Reconfigurable Architectures Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2018. | |
Khdr, Heba Resource Management for Multicores to Optimize Performance under Temperature and Aging Constraints Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2018. | |
Pathania, Anuj Scalable Task Schedulers for Many-Core Architectures Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2018. | |
Samie, Farzad Resource Management for Edge Computing in Internet of Things (IoT) Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2018. |
Year 2017
Journals | |
---|---|
Jörg Henkel, Paolo Montuschi Computer Engineers' Challenges for the Next Decade: The Triangle of Power Density, Circuit Degradation, and Reliability in IEEE Computer (Volume 50, Issue 7), DOI, PDF, Jul 2017. | |
Hussam Amrouch, Victor M. van Santen, Jörg Henkel Interdependencies of Degradation Effects and their Impact on Computing in IEEE Design & Test (Volume 34, Issue 3), DOI, PDF, Jun 2017. | |
Anuj Pathania, Vanchinathan Venkatramani, Muhammad Shafique, Tulika Mitra, Jörg Henkel Optimal Greedy Algorithm for Many-Core Scheduling in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (Volume 36, Issue 6), DOI, PDF, Jun 2017. | |
Hongyan Zhang, Lars Bauer, Michael A. Kochte, Eric Schneider, Hans-Joachim Wunderlich, Jörg Henkel Aging Resilience and Fault Tolerance in Runtime Reconfigurable Architectures in IEEE Transactions on Computers (TC) (Volume 66, Issue 6), DOI, PDF, Jun 2017. | |
Santiago Pagani, Anuj Pathania, Muhammad Shafique, Jian-Jia Chen, Jörg Henkel Energy Efficiency for Clustered Heterogeneous Multicores in IEEE Transactions on Parallel and Distributed Systems (TPDS) (Volume 28, Issue 5), DOI, PDF, May 2017. | |
Anuj Pathania, Vanchinathan Venkatramani, Muhammad Shafique, Tulika Mitra, Jörg Henkel Defragmentation of Tasks in Many-Core Architectures in ACM Transactions on Architecture and Code Optimization (TACO) (Volume 14, Issue 1), DOI, PDF, Apr 2017. | |
Tuo Li, Muhammad Shafique, Jude Angelo Ambrose, Jörg Henkel, Sri Parameswaran Fine-Grained Checkpoint Recovery for Application-Specific Instruction-Set Processors in IEEE Transactions on Computers (TC) (Volume 66, Issue 4), DOI, PDF, Apr 2017. | |
Muhammad Shafique, Semeen Rehman, Florian Kriebel, Muhammad Usman Karim Khan, Bruno Zatt, Arun Subramaniyan, Bruno Boessio Vizzotto, Jörg Henkel Application-Guided Power-Efficient Fault Tolerance for H.264 Context Adaptive Variable Length Coding in IEEE Transactions on Computers (TC) (Volume 66, Issue 4), DOI, PDF, Apr 2017. | |
Marvin Damschen, Lars Bauer, Jörg Henkel CoRQ: Enabling Runtime Reconfiguration under WCET Guarantees for Real-Time Systems in IEEE Embedded Systems Letters (ESL) (Volume 9, Issue 1), DOI, PDF, Mar 2017. | |
Heba Khdr, Santiago Pagani, Éricles Sousa, Vahid Lari, Anuj Pathania, Frank Hannig, Muhammad Shafique, Jürgen Teich, Jörg Henkel Power density-aware resource management for heterogeneous tiled multicores in IEEE Transactions on Computers (TC) (Volume 66, Issue 3), DOI, PDF, Mar 2017. | |
Sana Mazahir, Osman Hasan, Rehan Hafiz, Muhammad Shafique, Jörg Henkel Probabilistic Error Modeling for Approximate Adders in IEEE Transactions on Computers (TC) (Volume 66, Issue 3), DOI, PDF, Mar 2017. | |
Mateus Grellert, Bruno Zatt, Muhammad Shafique, Sergio Bampi, Jörg Henkel Complexity Control of HEVC Encoders Targeting Real-Time Constraints in Journal of Real-Time Image Processing (JRTIP) (Volume 13, Issue 1), DOI, PDF, Mar 2017. | |
Lothar Thiele, Jörg Henkel Report of the 2016 Embedded Systems Week (ESWEEK) in IEEE Design & Test (Volume 34, Issue 1), DOI, PDF, Feb 2017. | |
Muhammad Usama Sardar, Osman Hasan, Muhammad Shafique, Jörg Henkel Theorem proving based Formal Verification of Distributed Dynamic Thermal Management schemes in J. Parallel Distrib. Comput. (Volume 100), DOI, PDF, Feb 2017. | |
Artjom Grudnitsky, Lars Bauer, Jörg Henkel Efficient Partial Online-Synthesis of Special Instructions for Reconfigurable Processors in IEEE Transactions on Very Large Scale Integration Systems (TVLSI) (Volume 25, Issue 2), DOI, PDF, Feb 2017. | |
Marvin Damschen, Lars Bauer, Jörg Henkel Timing Analysis of Tasks on Runtime Reconfigurable Processors in IEEE Transactions on Very Large Scale Integration Systems (TVLSI) (Volume 25, Issue 1), DOI, PDF, Jan 2017. | |
Santiago Pagani, Heba Khdr, Jian-Jia Chen, Muhammad Shafique, Minming Li, Jörg Henkel Thermal safe power (TSP): Efficient power budgeting for heterogeneous manycore systems in dark silicon in IEEE Transactions on Computers (TC), (Volume 66, Issue 1), DOI, PDF, Jan 2017. | |
Syed Ali Asadullah Bukhari, Faiq Khalid Lodhi, Osman Hasan, Muhammad Shafique, Jörg Henkel FAMe-TM: Formal Analysis Methodology for Task Migration Algorithms in Many-Core Systems in Elsevier Science of Computer Programming (SCICO) (Volume 133, Part 2), DOI, PDF, Jan 2017. |
Conferences | |
---|---|
Hussam Amrouch, Jörg Henkel Containing Guardbands (Special Session Paper) in 22nd IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC'17), Chiba/Tokyo, Japan, DOI, PDF, Jan 16-19 2017. | |
Hussam Amrouch, Prashanth Krishnamurthy, Naman Patel, Jörg Henkel, Ramesh Karri, Farshad Khorrami Emerging (Un-)Reliability Based Security Threats and Mitigations for Embedded Systems in IEEE/ACM International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES'17), DOI, PDF, Oct 15-20 2017. | |
Tanja Harbaum, Christoph Schade, Marvin Damschen, Carsten Tradowsky, Lars Bauer, Jörg Henkel, Jürgen Becker Auto-SI: An Adaptive Reconfigurable Processor with Run-time Loop Detection and Acceleration in 30th IEEE International System-on-Chip Conference (SOCC), Munich, Germany, DOI, PDF, Sep 5-8 2017. | |
Hussam Amrouch, Behnam Khaleghi, Andreas Gerstlauer, Jörg Henkel Towards Aging-Induced Approximations in Design Automation Conference (DAC), Austin, Texax, USA, DOI, PDF, Jun 18-22 2017. BestPaper Nomination | |
Hussam Amrouch, Subrat Mishra, Victor M. van Santen, Souvik Mahapatra, Jörg Henkel Impact of BTI on Dynamic and Static Power: From the Physical to Circuit Level in IEEE/ACM 20th Design, Automation and Test in Europe Conference (DATE'17), Lausanne, Switzerland, DOI, PDF, Mar 27-31 2017. | |
Hussam Amrouch, Behnam Khaleghi, Jörg Henkel Optimizing Temperature Guardbands in IEEE/ACM 20th Design, Automation and Test in Europe Conference (DATE'17), Lausanne, Switzerland, DOI, PDF, Mar 27-31 2017. BestPaper Nomination | |
Syed Ali Asadullah Bukhari, Faiq Khalid Lodhi, Osman Hasan, Muhammad Shafique, Jörg Henkel CAnDy-TM: Comparative Analysis of Dynamic Thermal Management in Many-Cores using Model Checking in IEEE/ACM 20th Design, Automation and Test in Europe Conference (DATE'17), Lausanne, Switzerland, DOI, PDF, Mar 27-31 2017. | |
Jörg Henkel, Santiago Pagani, Hussam Amrouch, Lars Bauer, Farzad Samie Ultra-Low Power and Dependability for IoT Devices (special session paper) in IEEE/ACM 20th Design, Automation and Test in Europe Conference (DATE'17), Lausanne, Switzerland, DOI, PDF, Mar 27-31 2017. | |
Anuj Pathania, Heba Khdr, Muhammad Shafique, Tulika Mitra, Jörg Henkel Scalable Probabilistic Power Budgeting for Many-Cores in IEEE/ACM 20th Design, Automation and Test in Europe Conference (DATE'17), Lausanne, Switzerland, DOI, PDF, Mar 27-31 2017. | |
Arun Subramaniyan, Semeen Rehman, Muhammad Shafique, Akash Kumar, Jörg Henkel Soft Error-Aware Architectural Exploration for Designing Reliability Adaptive Cache Hierarchies in Multi-Cores in IEEE/ACM 20th Design, Automation and Test in Europe Conference (DATE'17), Lausanne, Switzerland, DOI, PDF, Mar 27-31 2017. |
Editorials | |
---|---|
Jörg Henkel Self-Aware On-Chip Systems in IEEE Design & Test (Volume 34, Issue 6), DOI, PDF, Dec 2017. | |
Jörg Henkel Verification and Test in IEEE Design & Test (Volume 34, Issue 5), DOI, PDF, Oct 2017. | |
Jörg Henkel Cyber-Physical Systems Security and Privacy in IEEE Design & Test (Volume 34, Issue 4), DOI, PDF, Aug 2017. | |
Jörg Henkel Emerging Memory Technologies in IEEE Design & Test (Volume 34, Issue 3), DOI, PDF, Jun 2017. | |
Jörg Henkel Power Density in IEEE Design & Test (Volume 34, Issue 2), DOI, PDF, Apr 2017. | |
Jörg Henkel 3D Test in IEEE Design & Test (Volume 34, Issue 1), DOI, PDF, Feb 2017. |
Books / Book Chapter | |
---|---|
Santiago Pagani, Muhammad Shafique, Jörg Henkel Design Space Exploration and Run-Time Adaptation for Multi-Core Resource Management Under Performance and Power Constraints Chapter in book Handbook of Hardware/Software Codesign (Editors: Soonhoi Ha, Jürgen Teich), DOI, PDF, 2017. | |
Santiago Pagani, Heba Khdr, Jian-Jia Chen, Muhammad Shafique, Minming Li, Jörg Henkel Thermal safe power: Efficient thermal-aware power budgeting for manycore systems in dark silicon Chapter in Book The Dark Side of Silicon (Editors: Amir M. Rahmani, Pasi Liljeberg, Ahmed Hemani, Axel Jantsch, Hannu Tenhunen), DOI, PDF, 2017. | |
Haseeb Bokhari, Muhammad ShafiqueJörg Henke, lSri Parameswaran Adroit Use of Dark Silicon for Power, Performance and Reliability Optimisation of NoCs Chapter in Book The Dark Side of Silicon (Editors: Amir M. Rahmani, Pasi Liljeberg, Ahmed Hemani, Axel Jantsch, Hannu Tenhunen), DOI, PDF, 2017. |
Other | |
---|---|
Vasileios Tenentes, Charles Leech, Graeme M. Bragg, Geo Merrett, Bashir M. Al-Hashimi, Hussam Amrouch, Jörg Henkel, Shidhartha Das Hardware and Software Innovations in Energy-Efficient System-Reliability Monitoring Special Session Paper) in IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), DOI, PDF, Oct 23-25 2017. | |
Hussam Amrouch and Jörg Henkel Evaluating and Mitigating Degradation Effects in Multimedia Circuits (invited) in IEEE Symposium on Embedded Systems for Real-Time Multimedia (ESTIMedia'17), October 15-20, Seoul, South Korea, DOI, PDF, Oct 2017. | |
Alexander Pöppl, Marvin Damschen, Florian Schmaus, Andreas Fried, Manuel Mohr, Matthias Blankertz, Lars Bauer, Jörg Henkel, Wolfgang Schröder-Preikschat, Michael Bader Shallow Water Waves on a Deep Technology Stack: Accelerating a Finite Volume Tsunami Model using Reconfigurable Hardware in Invasive Computing in 10th Workshop on UnConventional High Performance Computing (UCHPC), Santiago de Compostela, Spain, DOI, PDF, Aug 8-9 2017. | |
Hussam Amrouch, Jörg Henkel Containing Guardbands: From the Macro to Micro Time Domain in 20. Workshop „Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV'17)”, Haus der Wissenschaft, Bremen, Germany, Feb 8-9 2017. |
PhD Thesis | |
---|---|
Zhang, Hongyan Cross-Layer Dependability for Runtime Reconfigurable Architectures Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2017. |
Year 2016
Journals | |
---|---|
Marvin Damschen, Lars Bauer, Jörg Henkel Extending the WCET Problem to Optimize for Runtime-Reconfigurable Processors in ACM Transactions on Architecture and Code Optimization (TACO) (Volume 13, Issue 4), DOI, PDF, Dec 2016. | |
Muhammad Shafique, Muhammad Usman Karim Khan, Jörg Henkel Content-Aware Low-Power Configurable Aging Mitigation for SRAM Memories in IEEE Transactions on Computers (TC) (Volume 65, Issue 12), DOI, PDF, Dec 2016. | |
Muhammad Shafique, Anton Ivanov, Benjamin Vogel, Jörg Henkel Scalable Power Management for On-Chip Systems with Malleable Applications in IEEE Transactions on Computers (TC) (Volume 65, Issue 11), DOI, PDF, Nov 2016. | |
Kuan-Hsun Chen, Jian-Jia Chen, Florian Kriebel, Semeen Rehman, Muhammad Shafique, Jörg Henkel Task Mapping for Redundant Multithreading in Multi-Cores with Reliability and Performance Heterogeneity in IEEE Trans. Computers (Volume 65, Issue 11), DOI, PDF, Nov 2016. | |
Hossein Tajik, Bryan Donyanavard, Nikil Dutt, Janmartin Jahn, Jörg Henkel SPMPool: Runtime SPM Management for Memory-intensive Applications in Embedded Many-Cores in ACM Transactions on Embedded Computing Systems (TECS) (Volume 16, Issue 1), DOI, PDF, Nov 2016. | |
Florian Kriebel, Semeen Rehman, Arun Subramaniyan, Segnon Jean Bruno Ahandagbe, Muhammad Shafique, Jörg Henkel Reliability-Aware Adaptations for Shared Last-Level Caches in Multi-Cores in ACM Transactions on Embedded Computing Systems (TECS) (Volume 15, Issue 4), DOI, PDF, Aug 2016. | |
Muhammad Usama Sardar, Osman Hasan, Muhammad Shafique, Jörg Henkel Theorem Proving Based Formal Verification of Distributed Dynamic Thermal Management Schemes in Journal of Parallel and Distributed Computing (JPDC) (Volume 100), DOI, PDF, Aug 2016. | |
Amit Kumar Singh, Muhammad Shafique, Akash Kumar, Jörg Henkel Analysis and Mapping for Thermal and Energy Efficiency of 3D Video Processing on 3D Multi-Core Processors in IEEE Transactions on Very Large Scale Integration Systems (TVLSI) (Volume 24, Issue 8), DOI, PDF, Aug 2016. | |
Mohammad Salehi, Mohammad Khavari Tavana, Semeen Rehman, Muhammad Shafique, Alireza Ejlali, Jörg Henkel Two-State Checkpointing for Energy-Efficient Fault-Tolerance in Hard Real-Time Systems in IEEE Transactions on Very Large Scale Integration Systems (TVLSI) (Volume 24, Issue 7), DOI, PDF, Jul 2016. | |
Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel Power-Efficient Workload Balancing for Video Applications in IEEE Transactions on Very Large Scale Integration Systems (TVLSI) (Volume 24, Issue 6), DOI, PDF, Jun 2016. | |
Fazal Hameed, Lars Bauer, Jörg Henkel Architecting On-Chip DRAM Cache for Simultaneous Miss Rate and Latency Reduction in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (Volume 35, Issue 4), DOI, PDF, Apr 2016. | |
Florian Kriebel, Semeen Rehman, Muhammad Shafique, Siddharth Garg, Jörg Henkel Variability and Reliability-Awareness in the Age of Dark Silicon in IEEE Design & Test (Volume 33, Issue 2), DOI, PDF, Mar 2016. | |
Semeen Rehman, Kuan-Hsun Chen, Florian Kriebel, Anas Toma, Muhammad Shafique, Jian-Jia Chen, Jörg Henkel Cross-Layer Software Dependability on Unreliable Hardware in IEEE Transactions on Computers (TC) (Volume 65, Issue 1), DOI, PDF, Jan 2016. | |
Amit Singh, Muhammad Shafique, Akash Kumar, Jörg Henkel Resource and Throughput Aware Execution Trace Analysis for Efficient Run-time Mapping on MPSoCs in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (Volume 35, Issue 1), DOI, PDF, Jan 2016. |
Conferences | |
---|---|
Jörg Henkel, Hussam Amrouch Designing Reliable, yet Energy-Efficient Guardbands (special session paper) in 23rd IEEE International Conference on Electronics, Circuits and Systems (ICECS'16), Monte Carlo, Monaco, DOI, PDF, Dec 14-16 2016. | |
Farzad Samie, Vasileios Tsoutsouras, Lars Bauer, Sotirios Xydis, Dimitrios Soudris, Jörg Henkel Computation Offloading Management and Resource Allocation for Low-power IoT Edge Devices in IEEE World Forum on Internet of Things (WF-IoT), Reston, VA, USA, DOI, PDF, Dec 12-14 2016. | |
Semeen Rehman, Walaa El-Harouni, Muhammad Shafique, Akash Kumar, Jörg Henkel Architectural-Space Exploration of Approximate Multipliers in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Austin, TX, USA, DOI, PDF, Nov 7-10 2016. | |
Paul Bogdan, Partha Pratim Pande, Hussam Amrouch, Muhammad Shafique, Jörg Henkel Power and Thermal Management in Massive Multicore Chips: Theoretical Foundation meets Architectural Innovation and Resource Allocation (special session paper) in IEEE/ACM International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES'16), Pittsburgh, PA, USA, DOI, PDF, Oct 2-7 2016. | |
Farzad Samie, Lars Bauer, Jörg Henkel IoT Technologies for Embedded Computing: A Survey in International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), IoT day, Pittsburgh, PA, USA, DOI, PDF, Oct 2-7 2016. | |
Farzad Samie, Vasileios Tsoutsouras, Sotirios Xydis, Lars Bauer, Dimitrios Soudris, Jörg Henkel Distributed QoS Management for Internet of Things under Resource Constraints in International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), IoT day, Pittsburgh, PA, USA, DOI, PDF, Oct 2-7 2016. | |
Behnam Khaleghi, Behzad Omidi, Hussam Amrouch, Jörg Henkel, Hossein Asadi Stress-Aware Routing to Mitigate Aging Effects in SRAM-based FPGAs in International Conference on Field-Programmable Logic and Applications (FPL), Lausanne, Switzerland, DOI, PDF, Aug 29-Sep 2 2016. | |
Anas Toma, Santiago Pagani, Jian-Jia Chen, Wolfgang Karl, Jörg Henkel An Energy-Efficient Middleware for Computation Offloading in Real-Time Embedded Systems in 22nd IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA), Daegu, South Korea, DOI, PDF, Aug 17-19 2016. | |
Hussam Amrouch, Behnam Khaleghi, Andreas Gerstlauer, Jörg Henkel Reliability-Aware Design to Suppress Aging in ACM/EDAC/IEEE 53rd Design Automation Conference (DAC'16), Austin, TX, USA, DOI, PDF, Jun 5-9 2016. | |
Sana Mazahir, Osman Hasan, Rehan Hafiz, Muhammad Shafique, Jörg Henkel ageOpt-RMT: Compiler-Driven Variation-Aware Aging Optimization for Redundant Multithreading in ACM/EDAC/IEEE 53rd Design Automation Conference (DAC'16), Austin, TX, USA, DOI, PDF, Jun 5-9 2016. | |
Anuj Pathania, Vanchinathan Venkataramani, Muhammad Shafique, Tulika Mitra, Jörg Henkel Distributed Scheduling for Many-Cores Using Cooperative Game Theory in ACM/EDAC/IEEE 53rd Design Automation Conference (DAC'16), Austin, TX, USA, DOI, PDF, Jun 5-9 2016. | |
Alok Prakash, Hussam Amrouch, Shafique Muhammad, Tulika Mitra, Jörg Henkel Improving Mobile Gaming Performance through Cooperative CPU-GPU Thermal Management in ACM/EDAC/IEEE 53rd Design Automation Conference (DAC'16), Austin, TX, USA, DOI, PDF, Jun 5-9 2016. Best Paper Nomination | |
Victor M. van Santen, Hussam Amrouch, Javier Martin-Martinez, Montserrat Nafria, Jörg Henkel Designing Guardbands for Instantaneous Aging Effects in ACM/EDAC/IEEE 53rd Design Automation Conference (DAC'16), Austin, TX, USA, DOI, PDF, Jun 5-9 2016. | |
Muhammad Shafique, Rehan Hafiz, Semeen Rehman, Walaa El-Harouni, Jörg Henkel Cross-Layer Approximate Computing: From Logic to Architectures (invited special session paper) in ACM/EDAC/IEEE 53rd Design Automation Conference (DAC'16), Austin, TX, USA, DOI, PDF, Jun 5-9 2016. Also see open-source libraries: lpACLib, GeAR | |
Hongyan Zhang, Lars Bauer, Jörg Henkel Resource Budgeting for Reliability in Reconfigurable Architectures in ACM/EDAC/IEEE 53rd Design Automation Conference (DAC'16), Austin, TX, USA, DOI, PDF, Jun 5-9 2016. | |
Muhammad Shafique, Semeen Rehman, Florian Kriebel, Jörg Henkel Cross-Layer Reliability Modeling and Optimization: Compiler and Run-Time System Interactions in Software and Compilers for Embedded Systems (SCOPES), Sankt Goar, Germany, DOI, PDF, May 23-25 2016. | |
Jörg Henkel, Santiago Pagani, Heba Khdr, Florian Kriebel, Semeen Rehman, Muhammad Shafique Towards Performance and Reliability-Efficient Computing in the Dark Silicon Era in IEEE/ACM 19th Design, Automation and Test in Europe Conference (DATE'16), Dresden, Germany, DOI, PDF, Mar 14-18 2016. | |
Shafaq Iqtedar, Osman Hasan, Muhammad Shafique, Jörg Henkel Formal Probabilistic Analysis of Distributed Resource Management Schemes in On-Chip Systems in IEEE/ACM 19th Design, Automation and Test in Europe Conference (DATE'16), Dresden, Germany, DOI, PDF, Mar 14-18 2016. | |
Muhammad Usman Karim Khan, Muhammad Shafique, Apratim Gupta, Thomas Schumann, Jörg Henkel Power-Efficient Load-Balancing on Heterogeneous Computing Platforms in IEEE/ACM 19th Design, Automation and Test in Europe Conference (DATE'16), Dresden, Germany, DOI, PDF, Mar 14-18 2016. | |
Daniel Palomino, Muhammad Shafique, Altamiro Susin, Jörg Henkel Thermal Optimization using Adaptive Approximate Computing for Video Coding in IEEE/ACM 19th Design, Automation and Test in Europe Conference (DATE'16), Dresden, Germany, DOI, PDF, Mar 14-18 2016. | |
Anuj Pathania, Vanchinathan Venkataramani, Muhammad Shafique, Tulika Mitra, Jörg Henkel Distributed Fair Scheduling for Many-Cores in IEEE/ACM 19th Design, Automation and Test in Europe Conference (DATE'16), Dresden, Germany, DOI, PDF, Mar 14-18 2016. | |
Victor M. van Santen, Hussam Amrouch, Narendra Parihar, Souvik Mahapatra, Jörg Henkel Aging-Aware Voltage Scaling in IEEE/ACM 19th Design, Automation and Test in Europe Conference (DATE'16), Dresden, Germany, DOI, PDF, Mar 14-18 2016. |
Editorials | |
---|---|
Jörg Henkel Best in Test in IEEE Design & Test (Volume 33, Issue 6), DOI, PDF, Dec 2016. | |
Jörg Henkel Designing and Testing Implantable Medical Devices in IEEE Design & Test (Volume 33, Issue 5), DOI, PDF, Oct 2016. | |
Jörg Henkel Robustness for 3-D Circuits—Industrial Perspectives in IEEE Design & Test (Volume 33, Issue 3), DOI, PDF, May 2016. | |
Jörg Henkel Three-Dimensional Integrated Circuits in IEEE Design & Test (Volume 33, Issue 2), DOI, PDF, Mar 2016. | |
Jörg Henkel Approximate Computing: Solving Computing's Inefficiency Problem? in IEEE Design & Test (Volume 33, Issue 1), DOI, PDF, Jan 2016. |
Books / Book Chapter | |
---|---|
Semeen Rehman, Muhammad Shafique, Jörg Henkel Reliable Software for Unreliable Hardware - A Cross Layer Perspective Springer, DOI, PDF, 2016. ISBN 978-3-319-25770-9 |
Workshop | |
---|---|
Victor M. van Santen, Hussam Amrouch, Jörg Henkel
Modeling Short and Long-term Effects of Aging from the Defect to Application Level (invited presentation) in Workshop on System-to-Silicon Performance Modeling and Analysis at the ACM/EDAC/IEEE 53rd Design Automation Conference (DAC) , June 5-9 2016. |
Other | |
---|---|
Stefan Wildermann, Michael Bader, Lars Bauer, Marvin Damschen, Dirk Gabriel, Michael Gerndt, Michael Glaß, Jörg Henkel, Johny Paul, Alexander Pöppl, Sascha Roloff, Tobias Schwarzer, Gregor Snelting, Walter Stechele, Jürgen Teich, Andreas Weichslgartner, Andreas Zwinkau Invasive Computing for Timing-Predictable Stream Processing on MPSoCs in it – Information Technology (IT), Band 58, Heft 6, DOI, PDF, Dec 2016. | |
Santiago Pagani, Lars Bauer, Qingqing Chen, Elisabeth Glocker, Frank Hannig, Andreas Herkersdorf, Heba Khdr, Anuj Pathania, Ulf Schlichtmann, Doris Schmitt-Landsiedel, Mark Sagi, Ericles Rodrigues Sousa, Philipp Wagner, Volker Wenzel, Thomas Wild, Jörg Henkel Dark silicon management: an integrated and coordinated cross-layer approach in it – Information Technology (IT), Band 58, Heft 6, DOI, PDF, Dec 2016. |
PhD Thesis | |
---|---|
Pagani, Santiago Power, Energy, and Thermal Management for Clustered Manycores Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2016. |
Year 2015
Journals | |
---|---|
Muhammad Usman Karim Khan, Muhammad Shafique, Lars Bauer, Jörg Henkel Multicast FullHD H.264 Intra Video Encoder Architecture in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (Volume 34, Issue 12), DOI, PDF, Dec 2015. | |
Johny Paul, Walter Stechele, Benjamin Oechslein, Christoph Erhardt, Jens Schedel, Daniel Lohmann, Wolfgang Schröder-Preikschat, Manfred Kröhnert, Tamim Asfour, Éricles Sousa, Vahid Lari, Frank Hannig, Jürgen Teich, Artjom Grudnitsky, Lars Bauer, Jörg Henkel Resource-awareness on heterogeneous MPSoCs for image processing in Journal of Systems Architecture (JSA'15) (Volume 61, Issue 10), DOI, PDF, Nov 2015. | |
Santiago Pagani, Jian-Jia Chen, Jörg Henkel Energy and Peak Power Efficiency Analysis for the Single Voltage Approximation (SVA) Scheme in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (Volume 34, Issue 9), DOI, PDF, Sep 2015. | |
Janmartin Jahn, Santiago Pagani, Sebastian Kobbe, Jian-Jia Chen, Jörg Henkel Runtime Resource Allocation for Software Pipelines in ACM Transactions on Parallel Computing (TOPC) (Volume 2, Issue 1, Article No. 5), DOI, PDF, May 2015. | |
Cláudio Diniz, Muhammad Shafique, Sergio Bampi, Jörg Henkel A Reconfigurable Hardware Architecture for Fractional Pixel Interpolation in High Efficiency Video Coding in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (Volume 34, Issue 2), DOI, PDF, Feb 2015. |
Conferences | |
---|---|
Hussam Amrouch, Jörg Henkel Reliability Degradation in the Scope of Aging – From Physical to System Level (invited talk) in 10th IEEE International Design & Test Symposium (IDT'15), Dead Sea, Jordan, DOI, PDF, Dec 14-16 2015. | |
Muhammad Shafique, Jörg Henkel Mitigating the Power Density and Temperature Problems in the Nano-Era in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Austin, TX, USA, DOI, PDF, Nov 2-6 2015. | |
Hongyan Zhang, Michael A. Kochte, Eric Schneider, Lars Bauer, Hans-Joachim Wunderlich, Jörg Henkel STRAP: Stress-Aware Placement for Aging Mitigation in Runtime Reconfigurable Architectures in International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Amsterdam, The Netherlands, DOI, PDF, Nov 2-6 2015. | |
Lars Bauer, Artjom Grudnitsky, Marvin Damschen, Srinivas Rao Kerekare, Jörg Henkel Floating Point Acceleration for Stream Processing Applications in Dynamically Reconfigurable Processors (invited special session paper) in International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Amsterdam, The Netherlands, DOI, PDF, Oct 8-9 2015. | |
Felipe Sampaio, Muhammad Shafique, Bruno Zatt, Sergio Bampi, Jörg Henkel Approximation-Aware Multi-Level Cells STT-RAM Cache Architecture in International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Amsterdam, The Netherlands, DOI, PDF, Oct 4-9 2015. | |
Florian Kriebel, Arun Subramaniyan, Semeen Rehman, Segnon Jean Bruno Ahandagbe, Muhammad Shafique, Jörg Henkel R2Cache: Reliability-Aware Reconfigurable Last-Level Cache Architecture for Multi-Cores in International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Amsterdam, The Netherlands, DOI, PDF, Oct 4-9 2015. | |
Santiago Pagani, Muhammad Shafique, Heba Khdr, Jian-Jia Chen, Jörg Henkel seBoost: Selective Boosting for Heterogeneous Manycores in International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Amsterdam, The Netherlands, DOI, PDF, Oct 4-9 2015. | |
Mohammad Salehi, Muhammad Shafique, Florian Kriebel, Semeen Rehman, Mohammad Khavari Tavana, Alireza Ejlali, Jörg Henkel dsReliM: Power-Constrained Reliability Management in Dark-Silicon Many-Core Chips under Process Variations in International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Amsterdam, The Netherlands, DOI, PDF, Oct 4-9 2015. | |
Farzad Samie, Lars Bauer, Jörg Henkel An Approximate Compressor for Wearable Biomedical Healthcare Monitoring Systems in International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Amsterdam, The Netherlands, DOI, PDF, Oct 4-9 2015. | |
Jörg Henkel, Haseeb Bukhari, Siddharth Garg, Muhammad Usman Karim Khan, Heba Khdr, Florian Kriebel, Umit Ogras, Sri Parameswaran, Muhammad Shafique Dark Silicon - From Computation to Communication (invited special session paper) in 9th International Symposium on Networks-on-Chip (NOCS'15), Vancouver, Canada, DOI, PDF, Sep 28-30 2015. | |
Hussam Amrouch, Jörg Henkel Lucid Infrared Thermography of Thermally-Constrained Processors in ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED'15), Rome, Italy, DOI, PDF, Jul 22-24 2015. | |
Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel Hierarchical Power Budgeting for Dark Silicon Chips in ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED'15), Rome, Italy, DOI, PDF, Jul 22-24 2015. | |
Anuj Pathania, Santiago Pagani, Muhammad Shafique, Jörg Henkel Power Management for Mobile Games on Asymmetric Multi-Cores in ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED'15), Rome, Italy, DOI, PDF, Jul 22-24 2015. | |
Mohammad Salehi, Mohammad Khavari Tavana, Semeen Rehman, Florian Kriebel, Muhammad Shafique, Alireza Ejlali, Jörg Henkel DRVS: Power-Efficient Reliability Management through Dynamic Redundancy and Voltage Scaling under Variations in ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED'15), Rome, Italy, DOI, PDF, Jul 22-24 2015. | |
Haseeb Bokhari, Haris Javaid, Muhammad Shafique, Jörg Henkel, Sri Parameswaran SuperNet: Multimode Interconnect Architecture for Manycore Chips in ACM/EDAC/IEEE 52nd Design Automation Conference (DAC), San Francisco, CA, USA,, DOI, PDF, Jun 7-11 2015. | |
Dennis Gnad, Muhammad Shafique, Florian Kriebel, Semeen Rehman, Duo Sun, Jörg Henkel Hayat: Harnessing Dark Silicon and Variability for Aging Deceleration and Balancing in ACM/EDAC/IEEE 52nd Design Automation Conference (DAC), San Francisco, CA, USA,, DOI, PDF, Jun 7-11 2015. | |
Jörg Henkel, Heba Khdr, Santiago Pagani, Muhammad Shafique New Trends in Dark Silicon (special session) in ACM/EDAC/IEEE 52nd Design Automation Conference (DAC), San Francisco, CA, USA,, DOI, PDF, Jun 7-11 2015. | |
Heba Khdr, Santiago Pagani, Muhammad Shafique, Jörg Henkel Thermal Constrained Resource Management for Mixed ILP-TLP Workloads in Dark Silicon Chips in ACM/EDAC/IEEE 52nd Design Automation Conference (DAC), San Francisco, CA, USA,, DOI, PDF, Jun 7-11 2015. | |
Muhammad Shafique, Waqas Ahmad, Rehan Hafiz, Jörg Henkel A low latency Generic Accuracy Configurable Adder in ACM/EDAC/IEEE 52nd Design Automation Conference (DAC), San Francisco, CA, USA,, DOI, PDF, Jun 7-11 2015. | |
Muhammad Shafique, Muhammad Usman Karim Khan, Orcun Tuefek, Jörg Henkel EnAAM: Energy-Efficient Anti-Aging for On-Chip Video Memories in ACM/EDAC/IEEE 52nd Design Automation Conference (DAC), San Francisco, CA, USA,, DOI, PDF, Jun 7-11 2015. | |
Shafaq Iqtedar, Osman Hasan, Muhammad Shafique, Jörg Henkel Probabilistic Formal Verification Methodology for Decentralized Thermal Management in On-Chip Systems in IEEE 24th International Conference on Enabling Technologies: Infrastructure for Collaborative Enterprises (WETICE), Larnaca, Cyprus, DOI, PDF, Jun 2015. | |
Jörg Henkel, Muhammad Usman Karim Khan, Muhammad Shafique Energy-Efficient Multimedia Systems for High Efficiency Video Coding in IEEE International Symposium on Circuits and Systems (ISCAS), Lisbon, Portugal, DOI, PDF, May 24-27 2015. | |
Hussam Amrouch, Javier Martin-Martinez, Victor M. van Santen, Miquel Moras, Rosana Rodriguez, Montserrat Nafria and Jörg Henkel Connecting the Physical and Application Level Towards Grasping Aging Effects in IEEE 53rd International Reliability Physics Symposium (IRPS), Monterey, CA, USA, DOI, PDF, Apr 19-23 2015. | |
Haseeb Bokhari, Haris Javaid, Muhammad Shafique, Jörg Henkel, Sri Parameswaran Malleable NoC: Dark Silicon Inspired Adaptable Network on Chip in IEEE/ACM 18th Design, Automation and Test in Europe Conference (DATE'15), Grenoble, France, DOI, PDF, Mar 9-13 2015. | |
Cláudio Diniz, Muhammad Shafique, Felipe Dalcin, Sergio Bampi, Jörg Henkel A Deblocking Filter Hardware Architecture for the High Efficiency Video Coding Standard in IEEE/ACM 18th Design, Automation and Test in Europe Conference (DATE'15), Grenoble, France, DOI, PDF, Mar 9-13 2015. | |
Shafaq Iqtedar, Osman Hasan, Muhammad Shafique, Jörg Henkel Formal Probabilistic Analysis of Distributed Dynamic Thermal Management in IEEE/ACM 18th Design, Automation and Test in Europe Conference (DATE'15), Grenoble, France, DOI, PDF, Mar 9-13 2015. | |
Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel Power-Efficient Accelerator Allocation in Adaptive Dark Silicon Many-Core Systems in IEEE/ACM 18th Design, Automation and Test in Europe Conference (DATE'15), Grenoble, France, DOI, PDF, Mar 9-13 2015. | |
Florian Kriebel, Semeen Rehman, Duo Sun, Pau Vilimelis Aceituno, Muhammad Shafique, Jörg Henkel ACSEM: Accuracy-Configurable Fast Soft Error Masking Analysis in Combinatorial Circuits in IEEE/ACM 18th Design, Automation and Test in Europe Conference (DATE'15), Grenoble, France, DOI, PDF, Mar 9-13 2015. | |
Sebastian Kobbe, Lars Bauer, Jörg Henkel Adaptive on-the-fly Application Performance Modeling for Many Cores in IEEE/ACM 18th Design, Automation and Test in Europe Conference (DATE'15), Grenoble, France, DOI, PDF, Mar 9-13 2015. | |
Santiago Pagani, Jian-Jia Chen, Muhammad Shafique, Jörg Henkel MatEx: Efficient Transient and Peak Temperature Computation for Compact Thermal Models in IEEE/ACM 18th Design, Automation and Test in Europe Conference (DATE'15), Grenoble, France, DOI, PDF, Mar 9-13 2015. | |
Farzad Samie, Lars Bauer, Chih-Ming Hsieh, Jörg Henkel Online Binding of Applications to Multiple Clock Domains in Shared FPGA-based Systems in IEEE/ACM 18th Design, Automation and Test in Europe Conference (DATE'15), Grenoble, France, DOI, PDF, Mar 9-13 2015. | |
Muhammad Shafique, Dennis Gnad, Siddharth Garg, Jörg Henkel Variability-Aware Dark Silicon Management in On-Chip Many-Core Systems in IEEE/ACM 18th Design, Automation and Test in Europe Conference (DATE'15), Grenoble, France, DOI, PDF, Mar 9-13 2015. | |
Xi Zhang, Haris Javaid, Muhammad Shafique, Jorgen Peddersen, Jörg Henkel, Sri Parameswaran E-pipeline: Elastic Hardware/Software Pipelines on a Many-Core Fabric in IEEE/ACM 18th Design, Automation and Test in Europe Conference (DATE'15), Grenoble, France, DOI, PDF, Mar 9-13 2015. | |
Xi Zhang, Haris Javaid, Muhammad Shafique, Jude Angelo Ambrose, Jörg Henkel, Sri Parameswaran ADAPT: An ADAptive Manycore Methodology for Software Pipelined ApplicaTions in 20th IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), Chiba/Tokyo, Japan, DOI, PDF, Jan 19-22 2015. |
Workshop | |
---|---|
Santiago Pagani, Jian-Jia Chen, Muhammad Shafique, Jörg Henkel Thermal-Aware Power Budgeting for Dark Silicon Chips (special session paper) in 2nd Workshop on Low-Power Dependable Computing (LPDC) at the International Green and Sustainable Computing Conference (IGSC), Las Vegas, Nevada, USA, DOI, PDF, Dec 14-16 2015. | |
M. Shafique, W. Ahmad, R. Hafiz, J. Henkel A Generic Adder Model with Variable Approximation Modes in Workshop on Approximate Computing (AC), Paderborn, Germany, Oct 15-16 2015. | |
M. Shafique, F. Sampaio, B. Zatt, S. Bampi, J. Henkel Resilience-Driven STT-RAM Cache Architecture for Approximate Computing in Workshop on Approximate Computing (AC), Paderborn, Germany, Oct 15-16 2015. | |
Santiago Pagani, Muhammad Shafique, Jian-Jia Chen, Jörg Henkel Thermal-Aware Power Budgeting for Dark Silicon Chips (invited presentation) in Workshop on System-to-Silicon Performance Modeling and Analysis at the ACM/EDAC/IEEE 52nd Design Automation Conference (DAC), San Francisco, CA, USA, Jun 8-12 2015. |
Other | |
---|---|
Jörg Henkel Dependable embedded systems in it - Information Technology (Volume 57, Issue 3, Pages 147–148), DOI, PDF, Jun 2015. | |
Lars Bauer, Jörg Henkel, Andreas Herkersdorf, Michael A. Kochte, Johannes M. Kühn, Wolfgang Rosenstiel, Thomas Schweizer, Stefan Wallentowitz, Volker Wenzel, Thomas Wild, Hans-Joachim Wunderlich, Hongyan Zhang Adaptive multi-layer techniques for increased system dependability in it - Information Technology (Volume 57, Issue 3, Pages 149–158), DOI, PDF, Jun 2015. | |
Muhammad Shafique, Philip Axer, Christoph Borchert, Jian-Jia Chen, Kuan-Hsun Chen, Björn Döbel, Rolf Ernst, Hermann Härtig, Andreas Heinig, Rüdiger Kapitza, Florian Kriebel, Daniel Lohmann, Peter Marwedel, Semeen Rehman, Florian Schmoll, Olaf Spinczyk Multi-Layer Software Reliability for Unreliable Hardware in it - Information Technology (Volume 57, Issue 3, Pages 170–180), DOI, PDF, Jun 2015. |
PhD Thesis | |
---|---|
Grudnitsky, Artjom A Reconfigurable Processor for Heterogeneous Multi-Core Architectures Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2015. | |
Khan, Muhammad Usman Karim Towards Computational Efficiency of Next Generation Multimedia Systems Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2015. | |
Rehman, Semeen Reliable Software for Unreliable Hardware – A Cross-Layer Approach Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2015. | |
Amrouch, Hussam Techniques for Aging, Soft Errors and Temperature to Increase the Reliability of Embedded On-Chip Systems Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2015. | |
Kobbe, Sebastian Scalable and Distributed Resource Management for Many-Core Systems Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2015. | |
Hameed, Fazal DRAM Aware Last-Level-Cache Policies for Multi-core Systems Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2015. |
Year 2014
Journals | |
---|---|
Semeen Rehman, Florian Kriebel, Muhammad Shafique, Jörg Henkel Reliability-Driven Software Transformations for Unreliable Hardware in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (Volume 33, Issue 11), DOI, PDF, Nov 2014. | |
Jörg Henkel, Lars Bauer, Artjom Grudnitsky, Hongyan Zhang: Adaptive embedded computing with i-core in SIGBED Review (Volume 11 Issue 3), DOI, PDF, Oct 2014. | |
A. Herkersdorf, H. Aliee, M. Engel, M. Glaß, C. Gimmler-Dumont, J. Henkel, V. B. Kleeberger, M. A. Kochte, J. M. Kühn, D. Mueller-Gritschneder, S. R. Nassif, H. Rauchfuss, W. Rosenstiel, U. Schlichtmann, M. Shafique, M. B. Tahoori, J. Teich, N. Wehn, C. Weis, H.-J. Wunderlich Resilience Articulation Point (RAP): Cross-layer Dependability Modeling for Nanometer System-on-chip Resilience in Elsevier Microelectronics Reliability Journal (Volume 54, Issues 6–7, Pages 1066–1074), DOI, PDF, Jun-Jul 2014. | |
Hussam Amrouch, Thomas Ebi, Jörg Henkel RESI: Register-Embedded Self-Immunity for Reliability Enhancement in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (Volume 33, Issue 5), DOI, PDF, May 2014. | |
Haris Javaid, Muhammad Shafique, Jörg Henkel, Sri Parameswaran Energy-Efficient Adaptive Pipelined MPSoCs for Multimedia Applications in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (Volume 33, Issue 5), DOI, PDF, May 2014. | |
Nadine Azémard, Jörg Henkel Selected Peer-Reviewed Articles from the 4th European Workshop on CMOS Variability, Karlsruhe, Germany, September 9-11, 2013 in J. Low Power Electronics (Volume 10, Issue 1), DOI, PDF, Mar 2014. | |
Muhammad Shafique, Lars Bauer, Jörg Henkel Adaptive Energy Management for Dynamically Reconfigurable Processors in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (Volume 33, Issue 1), DOI, PDF, Jan 2014. |
Conferences | |
---|---|
Waqaas Munawar, Heba Khdr, Santiago Pagani, Muhammad Shafique, Jian-Jia Chen and Jörg Henkel Peak Power Management for Scheduling Real-time Tasks on Heterogeneous Many-Core Systems in 20th IEEE International Conference on Parallel and Distributed Systems (ICPADS), Hsinchu, Taiwan, DOI, PDF, Dec 16-19 2014. | |
Saad Shoaib, Rehan Hafiz, Muhammad Shafique Hardware/Software Co-design of Embedded Real-Time KD-Tree Based Feature Matching Systems in International Symposium on Visual Computing (ISVC), Las Vegas, Nevada, USA, Dec 8 2014. | |
Hussam Amrouch, Victor M. van Santen, Thomas Ebi, Volker Wenzel, Jörg Henkel Towards Interdependencies of Aging Mechanisms in IEEE/ACM 33rd International Conference on Computer-Aided Design (ICCAD), San Jose, CA, USA, DOI, PDF, Nov 3-6 2014. | |
Felipe Sampaio, Muhammad Shafique, Bruno Zatt, Sergio Bampi, Jörg Henkel Energy-Efficient Architecture for Advanced Video Memory in IEEE/ACM 33rd International Conference on Computer-Aided Design (ICCAD), San Jose, CA, USA, DOI, PDF, Nov 3-6 2014. | |
Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel Fast Hierarchical Intra Angular Mode Selection for High Efficiency Video Coding in International Conference on Image Processing (ICIP'14), Paris, France, DOI, PDF, Oct 27-30 2014. | |
Muhammad Shafique, Muhammad Usman Karim Khan, Jörg Henkel Power Efficient and Workload Balanced Tiling for Parallelized High Efficiency Video Coding in International Conference on Image Processing (ICIP'14), Paris, France, DOI, PDF, Oct 27-30 2014. | |
Stefan Wallentowitz, Stefan Rosch, Thomas Wild, Andreas Herkersdorf, Volker Wenzel, Jörg Henkel: Dependable task and communication migration in tiled manycore system-on-chip. in Forum on Specification and Design Languages, FDL 2014, Munich, Germany, DOI, PDF, Oct 14-16 2014. | |
Artjom Grudnitsky, Lars Bauer, Jörg Henkel COREFAB: Concurrent Reconfigurable Fabric Utilization in Heterogeneous Multi-Core Systems in: International Conference on Hardware - Software Codesign and System Synthesis (CODES+ISSS), New Delhi, India, DOI, PDF, Oct 12-17 2014. BestPaper Nomination | |
Martin Haaß, Lars Bauer, Jörg Henkel Automatic Custom Instruction Identification in Memory Streaming Algorithms in: International Conference on Hardware - Software Codesign and System Synthesis (CODES+ISSS), New Delhi, India, DOI, PDF, Oct 12-17 2014. | |
Chih-Ming Hsieh, Farzad Samie, M. Sammer Srouji, Manyi Wang, Zhonglei Wang, Jörg Henkel Hardware/Software Co-design for A Wireless Sensor Network Platform in: International Conference on Hardware - Software Codesign and System Synthesis (CODES+ISSS), New Delhi, India, DOI, PDF, Oct 12-17 2014. | |
Santiago Pagani, Heba Khdr, Waqaas Munawar, Jian-Jia Chen, Muhammad Shafique, Minming Li, Jörg Henkel TSP: Thermal Safe Power - Efficient power budgeting for Many-Core Systems in Dark Silicon in: International Conference on Hardware - Software Codesign and System Synthesis (CODES+ISSS), New Delhi, India, DOI, PDF, Oct 12-17 2014. Best Paper Award | |
Muhammad Shafique, Siddharth Garg, Tulika Mitra, Sri Parameswaran, Jörg Henkel Dark silicon as a challenge for hardware/software co-design in: International Conference on Hardware - Software Codesign and System Synthesis (CODES+ISSS), New Delhi, India, DOI, PDF, Oct 12-17 2014. | |
Cláudio Machado Diniz, Muhammad Shafique, Sergio Bampi, Jörg Henkel Run-Time Accelerator Binding for Tile-Based Mixed-Grained Reconfigurable Architectures in 24th International Conference on Field Programmable Logic and Applications (FPL), Munich, Germany, DOI, PDF, Sep 2-4 2014. | |
Felipe Sampaio, Muhammad Shafique, Bruno Zatt, Sergio Bampi, Jörg Henkel Content-Driven Memory Pressure Balancing and Video Memory Power Management for Parallel High Efficiency Video Coding in ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED´14), La Jolla, CA, USA, DOI, PDF, Aug 11-13 2014. | |
Daniel Palomino, Muhammad Shafique, Altamiro Susin, Jörg Henkel TONE: Adaptive Temperature Optimization for the Next Generation Video Encoders in ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED´14), La Jolla, CA, USA, DOI, PDF, Aug 11-13 2014. | |
M. Sammer Srouji, Talal Bonny, Jörg Henkel High-speed Encoding/Decoding Technique for Reliable Data Transmission in Wireless Sensor Networks in IEEE 11th International Conference on Sensing, Communication, and Networking (SECON '14), Singapore, DOI, PDF, Jun 30-Jul 3 2014. | |
Haseeb Bokhari, Haris Javaid, Muhammad Shafique, Jörg Henkel, Sri Parameswaran darkNoC: Designing Energy Efficient Network-on-Chip with Multi-Vt Cells for Dark Silicon in IEEE/ACM Design Automation Conference (DAC'14), San Francisco, CA, USA, DOI, PDF, Jun 1-5 2014. | |
Fazal Hameed, Lars Bauer, Jörg Henkel Reducing Latency in an SRAM/DRAM Cache Hierarchy via a Novel Tag-Cache Architecture in IEEE/ACM Design Automation Conference (DAC'14), San Francisco, CA, USA, DOI, PDF, Jun 1-5 2014. | |
Jan Heisswolf, Aurang Zaib, Andreas Zwinkau, Sebastian Kobbe, Andreas Weichslgartner, Jürgen Teich, Jörg Henkel, Gregor Snelting, Andreas Herkersdorf, Jürgen Becker CAP: Communication Aware Programming in IEEE/ACM Design Automation Conference (DAC'14), San Francisco, CA, USA, DOI, PDF, Jun 1-5 2014. | |
Jörg Henkel, Lars Bauer, Hongyan Zhang, Semeen Rehman, Muhammad Shafique Multi-Layer Dependability: From Microarchitecture to Application Level (invited) in IEEE/ACM Design Automation Conference (DAC'14), San Francisco, CA, USA, DOI, PDF, Jun 1-5 2014. | |
Florian Kriebel, Semeen Rehman, Duo Sun, Muhammad Shafique, Jörg Henkel ASER: Adaptive Soft Error Resilience for Reliability-Heterogeneous Processors in the Dark Silicon Era in IEEE/ACM Design Automation Conference (DAC'14), San Francisco, CA, USA, DOI, PDF, Jun 1-5 2014. | |
Semeen Rehman, Florian Kriebel, Duo Sun, Muhammad Shafique, Jörg Henkel dTune: Leveraging Reliable Code Generation for Adaptive Dependability Tuning under Process Variation and Aging-Induced Effects in IEEE/ACM Design Automation Conference (DAC'14), San Francisco, CA, USA, DOI, PDF, Jun 1-5 2014. | |
Muhammad Shafique, Siddharth Garg, Diana Marculescu, Jörg Henkel The EDA Challenges in the Dark Silicon Era: Temperature, Reliability, and Variability Perspectives in IEEE/ACM Design Automation Conference (DAC'14), San Francisco, CA, USA, DOI, PDF, Jun 1-5 2014. | |
Hongyan Zhang, Michael Kochte, Michael Imhof, Lars Bauer, Hans-Joachim Wunderlich, Jörg Henkel GUARD: GUAranteed Reliability in Dynamically Reconfigurable Systems in IEEE/ACM Design Automation Conference (DAC'14), San Francisco, CA, USA, DOI, PDF, Jun 1-5 2014. | |
Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel Software Architecture of High Efficiency Video Coding for Many-Core Systems with Power-Efficient Workload Balancing in IEEE/ACM 17th Design Automation and Test in Europe Conference (DATE´14), Dresden, Germany, DOI, PDF, Mar 24-28 2014. | |
Heba Khdr, Thomas Ebi, Muhammad Shafique, Hussam Amrouch, Jörg Henkel mDTM: Multi-Objective Dynamic Thermal Management for On-Chip Systems in IEEE/ACM 17th Design Automation and Test in Europe Conference (DATE´14), Dresden, Germany, DOI, PDF, Mar 24-28 2014. | |
Daniel Palomino, Muhammad Shafique, Hussam Amrouch, Altamiro Susin, Jörg Henkel hevcDTM: Application-Driven Dynamic Thermal Management for High Efficiency Video Coding in IEEE/ACM 17th Design Automation and Test in Europe Conference (DATE´14), Dresden, Germany, DOI, PDF, Mar 24-28 2014. | |
Semeen Rehman, Florian Kriebel, Muhammad Shafique, Jörg Henkel Compiler-Driven Dynamic Reliability Management for On-Chip Systems under Variabilities in IEEE/ACM 17th Design Automation and Test in Europe Conference (DATE´14), Dresden, Germany, DOI, PDF, Mar 24-28 2014. | |
Felipe Sampaio, Muhammad Shafique, Bruno Zatt, Sergio Bampi, Jörg Henkel dSVM: Energy-Efficient Distributed Scratchpad Video Memory Architecture for the Next-Generation High Efficiency Video Coding in IEEE/ACM 17th Design Automation and Test in Europe Conference (DATE´14), Dresden, Germany, DOI, PDF, Mar 24-28 2014. | |
Kartikeya Bhardwaj, Pravin S. Mane, Jörg Henkel Power- and area-efficient Approximate Wallace Tree Multiplier for error-resilient systems in Fifteenth International Symposium on Quality Electronic Design, ISQED 2014, Santa Clara, CA, USA, DOI, PDF, Mar 3-5 2014. | |
Artjom Grudnitsky, Lars Bauer, Jörg Henkel MORP: Makespan Optimization for Processors with an Embedded Reconfigurable Fabric in 22nd ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA), Monterey, California, USA, DOI, PDF, Feb 26-28 2014. | |
Muhammad Shafique, Jörg Henkel Low Power Design of the Next-Generation High Efficiency Video Coding (invited) in 19th Asia and South Pacific Design Automation Conference (ASP-DAC´14), Singapore, DOI, PDF, Jan 20-23 2014. |
Poster | |
---|---|
Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel Application-Specific Hierarchical Power Management for Multicast High Efficiency Video Coding in Designer Track, 51st ACM/EDA/IEEE Design Automation Conference (DAC´14), San Francisco, CA, USA, Jun 1-5 2014. Best Poster Award |
Workshop | |
---|---|
Syed Ali Asadullah Bukhari, Faiq Khalid Lodhi, Osman Hasan, Muhammad Shafique, Jörg Henkel Formal Verification of Distributed Task Migration for Thermal Management in On-chip Multi-core Systems using nuXmv in Third International Workshop on Formal Techniques for Safety-Critical Systems (FTSCS'14), Luxembourg, DOI, PDF, Nov 6-7 2014. |
PhD Thesis | |
---|---|
Ebi, Thomas Thermal Management for Dependable On-Chip Systems Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2014. | |
Jahn, Janmartin Resource Allocation for Software Pipelines in Many-core Systems Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2014. |
Year 2013
Journals | |
---|---|
Bruno Boessio Vizzotto, Bruno Zatt, Muhammad Shafique, Sergio Bampi, Jörg Henkel Model Predictive Hierarchical Rate Control with Markov Decision Process for Multiview Video Coding in IEEE Transactions on Circuits and Systems for Video Technology (TCSVT) (Volume 23, Issue 12), DOI, PDF, Dec 2013. | |
Lars Bauer, Claus Braun, Michael E. Imhof, Michael A. Kochte, Eric Schneider, Hongyan Zhang, Jörg Henkel, Hans-Joachim Wunderlich Test Strategies for Reliable Runtime Reconfigurable Architectures in IEEE Transactions on Computers (Volume 62, Issue 8), DOI, PDF, Aug 2013. |
Conferences | |
---|---|
Daniel Palomino, Eduardo Cavichioli, Luciano Agostini, Muhammad Shafique, Jörg Henkel, Altamiro Susin Fast HEVC Intra Mode Decision Algorithm Based on New Evaluation Order in the Coding Tree Block in 30th Picture Coding Symposium (PCS´13), San Jose, CA, USA, DOI, PDF, Dec 8-11 2013. | |
Rana Muhammad Bilal, Rehan Hafiz, Muhammad Shafique, Saad Shoaib, Asim Munawar, Jörg Henkel ISOMER: Integrated Selection, Partitioning and Placement Methodology for Reconfigurable Architectures in IEEE/ACM International Conference on Computer-Aided Design (ICCAD'13), San Jose, CA, USA, DOI, PDF, Nov 18-21 2013. | |
Muhammad Ismail, Osman Hasan, Thomas Ebi, Muhammad Shafique, Jörg Henkel Formal Verification of Distributed Dynamic Thermal Management in IEEE/ACM International Conference on Computer-Aided Design (ICCAD'13), San Jose, CA, USA, DOI, PDF, Nov 18-21 2013. | |
Janmartin Jahn, Santiago Pagani, Jian-Jia Chen, Jörg Henkel MOMA: Mapping of Memory-intensive Software-pipelined Applications for Systems with Multiple Memory Controllers in IEEE/ACM International Conference on Computer-Aided Design (ICCAD'13), San Jose, CA, USA, DOI, PDF, Nov 18-21 2013. | |
Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel AMBER: Adaptive Energy Management for On-Chip Hybrid Video Memories in IEEE/ACM International Conference on Computer-Aided Design (ICCAD'13), San Jose, CA, USA, DOI, PDF, Nov 18-21 2013. | |
Tuo Li, Muhammad Shafique, Semeen Rehman, Jude Angelo Ambrose, Jörg Henkel, Sri Parameswaran DHASER: Dynamic Heterogeneous Adaptation for Soft-Error Resiliency in ASIP-based Multi-core Systems in IEEE/ACM International Conference on Computer-Aided Design (ICCAD'13), San Jose, CA, USA, DOI, PDF, Nov 18-21 2013. | |
Muhammad Shafique, Jörg Henkel Agent-Based Distributed Power Management for Kilo-Core Processors (invited) in IEEE/ACM International Conference on Computer-Aided Design (ICCAD'13), San Jose, CA, USA, DOI, PDF, Nov 18-21 2013. | |
Fazal Hameed, Lars Bauer, Jörg Henkel Reducing Inter-Core Cache Contention with an Adaptive Bank Mapping Policy in DRAM Cache in IEEE International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'13), Montreal, Canada, DOI, PDF, Sep 29-Oct 4 2013. Best Paper Nomination | |
Fazal Hameed, Lars Bauer, Jörg Henkel Simultaneously Optimizing DRAM Cache Hit Latency and Miss Rate via Novel Set Mapping Policies in International Conference on Compilers Architecture and Synthesis for Embedded Systems (CASES'13), Montreal, Canada, DOI, PDF, Sep 29-Oct 4 2013. | |
Manuel Mohr, Artjom Grudnitsky, Tobias Modschiedler, Lars Bauer, Sebastian Hack, Jörg Henkel Hardware Acceleration for Programs in SSA Form in International Conference on Compilers Architecture and Synthesis for Embedded Systems (CASES'13), Montreal, Canada, DOI, PDF, Sep 29-Oct 4 2013. | |
Jörg Henkel, Vijaykrishnan Narayanan, Sri Parameswaran, Jürgen Teich Run-time adaption for highly-complex multi-core systems in International Conference on Compilers Architecture and Synthesis for Embedded Systems (CASES'13), Montreal, Canada, DOI, PDF, Sep 29-Oct 4 2013. | |
Cláudio Machado Diniz, Muhammad Shafique, Sergio Bampi, Jörg Henkel High-Throughput Interpolation Hardware Architecture with Coarse-Grained Reconfigurable Datapaths for HEVC in 20th IEEE International Conference on Image Processing (ICIP), Melbourne, Australia, DOI, PDF, Sep 15-18 2013. | |
Mateus Grellert, Muhammad Shafique, Muhammad Usman Karim Khan, Luciano Agostini, Julio C. B. Mattos, Jörg Henkel An Adaptive Workload Management Scheme for HEVC in 20th IEEE International Conference on Image Processing (ICIP), Melbourne, Australia, DOI, PDF, Sep 15-18 2013. | |
Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel An Adaptive Complexity Reduction Scheme with Fast Prediction Unit Decision for HEVC Intra Encoding in 20th IEEE International Conference on Image Processing (ICIP), Melbourne, Australia, DOI, PDF, Sep 15-18 2013. | |
Felipe Sampaio, Bruno Zatt, Muhammad Shafique, Luciano Agostini, Jörg Henkel, Sergio Bampi Content-Adaptive Reference Frame Compression Based On Intra-Frame Prediction for Multiview Video Coding in 20th IEEE International Conference on Image Processing (ICIP), Melbourne, Australia, DOI, PDF, Sep 15-18 2013. | |
Hongyan Zhang, Lars Bauer, Michael A. Kochte, Eric Schneider, Claus Braun, Michael E. Imhof, Hans-Joachim Wunderlich, Jörg Henkel Module Diversification: Fault Tolerance and Aging Mitigation for Runtime Reconfigurable Architectures in 2013 IEEE International Test Conference (ITC), Anaheim, California, USA, DOI, PDF, Sep 10-12 2013. | |
Muhammad Shafique, Muhammad Usman Karim Khan, Jörg Henkel Content-Driven Adaptive Computation Offloading for Energy-Aware Hybrid Distributed Video Coding in International Symposium on Low Power Electronics and Design (ISLPED'13), Beijing, China, DOI, PDF, Sep 4-6 2013. | |
Hussam Amrouch, Thomas Ebi, Josef Schneider, Sridevan Parameswaran, Jörg Henkel Analyzing the Thermal Hotspots in FPGA-based Embedded Systems in 23rd International Conference on Field Programmable Logic and Applications (FPL), Porto, Portugal, DOI, PDF, Sep 2-4 2013. | |
Hussam Amrouch, Thomas Ebi, Jörg Henkel Stress Balancing to Mitigate NBTI Effects in Register Files in The 43rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN'13), Budapest, Hungary, DOI, PDF, Jun 24-27 2013. | |
Jörg Henkel, Lars Bauer, Nikil Dutt, Puneet Gupta, Sani Nassif, Muhammad Shafique, Mehdi Tahoori, Norbert Wehn Reliable On-Chip Systems in the Nano-Era: Lessons Learnt and Future Trends in IEEE/ACM Design Automation Conference (DAC), Austin, TX, USA, DOI, PDF, Jun 2-6 2013. | |
Janmartin Jahn, Santiago Pagani, Sebastian Kobbe, Jian-Jia Chen, Jörg Henkel Optimizations for Configuring and Mapping Software Pipelines in Many Core Systems in IEEE/ACM Design Automation Conference (DAC), Austin, TX, USA, DOI, PDF, Jun 2-6 2013. | |
Tuo Li, Muhammad Shafique, Jude Angelo Ambrose, Semeen Rehman, Jörg Henkel, Sri Parameswaran RASTER: Runtime Adaptive Spatial/Temporal Error Resiliency for Embedded Processors in IEEE/ACM Design Automation Conference (DAC), Austin, TX, USA, DOI, PDF, Jun 2-6 2013. | |
Muhammad Shafique, Semeen Rehman, Pau Vilimelis Aceituno, Jörg Henkel Exploiting Program-Level Masking and Error Propagation for Constrained Reliability Optimization in IEEE/ACM Design Automation Conference (DAC), Austin, TX, USA, DOI, PDF, Jun 2-6 2013. | |
Amit Singh, Muhammad Shafique, Akash Kumar, Jörg Henkel Mapping on Multi/Many Core Systems: Survey of Current and Emerging Trends in IEEE/ACM Design Automation Conference (DAC), Austin, TX, USA, DOI, PDF, Jun 2-6 2013. | |
Abdulazim Amouri, Hussam Amrouch, Thomas Ebi, Jörg Henkel and Mehdi Tahoori Accurate Thermal-Profile Estimation and Validation for FPGA-Mapped Circuits in The 21st IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM'13), Seattle, Washington, USA, DOI, PDF, Apr 28-30 2013. | |
Semeen Rehman, Anas Toma, Florian Kriebel, Muhammad Shafique, Jian-Jia Chen, Jörg Henkel Reliable Code Generation and Execution on Unreliable Hardware under Joint Functional and Timing Reliability Considerations in 19th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS), Philadelphia, USA, DOI, PDF, Apr 9 2013. | |
Fazal Hameed, Lars Bauer, Jörg Henkel Adaptive Cache Management for a combined SRAM and DRAM Cache Hierarchy for Multi-Cores in IEEE/ACM 16th Design Automation and Test in Europe Conference (DATE´13), Grenoble, France, DOI, PDF, Mar 18-22 2013. | |
Chih-Ming Hsieh, Zhonglei Wang, Jörg Henkel DANCE: Distributed Application-aware Node Configuration Engine in Shared Reconfigurable Sensor Networks in IEEE/ACM 16th Design Automation and Test in Europe Conference (DATE´13), Grenoble, France, DOI, PDF, Mar 18-22 2013. | |
Janmartin Jahn, Jörg Henkel Pipelets: Self-Organizing Software Pipelines for Many Core Systems in IEEE/ACM 16th Design Automation and Test in Europe Conference (DATE´13), Grenoble, France, DOI, PDF, Mar 18-22 2013. | |
Muhammad Usman Karim Khan, Jan Micha Borrmann, Lars Bauer, Muhammad Shafique, Jörg Henkel An H.264 Quad-FullHD Low-Latency Intra Video Encoder in IEEE/ACM 16th Design Automation and Test in Europe Conference (DATE´13), Grenoble, France, DOI, PDF, Mar 18-22 2013. | |
Muhammad Usman Karim Khan, Muhammad Shafique, Mateus Grellert da Silva, Jörg Henkel Hardware-Software Collaborative Complexity Reduction Scheme for the Emerging HEVC Intra Encoder in IEEE/ACM 16th Design Automation and Test in Europe Conference (DATE´13), Grenoble, France, DOI, PDF, Mar 18-22 2013. | |
Tuo Li, Muhammad Shafique, Semeen Rehman, Swarnalatha Radhakrishnan, Roshan Ragel, Jude Angelo Ambrose, Jörg Henkel, Sri Parameswaran CSER: HW/SW Configurable Soft-Error Resiliency for Application Specific Instruction-Set Processors in IEEE/ACM 16th Design Automation and Test in Europe Conference (DATE´13), Grenoble, France, DOI, PDF, Mar 18-22 2013. | |
Semeen Rehman, Muhammad Shafique, Pau Vilimelis Aceituno, Florian Kriebel, Jian-Jia Chen, Jörg Henkel Leveraging Variable Function Resilience for Selective Software Reliability on Unreliable Hardware in IEEE/ACM 16th Design Automation and Test in Europe Conference (DATE´13), Grenoble, France, DOI, PDF, Mar 18-22 2013. | |
Felipe Sampaio, Bruno Zatt, Muhammad Shafique, Luciano Agostini, Sergio Bampi, Jörg Henkel Energy-Efficient Memory Hierarchy for Motion and Disparity Estimation in Multiview Video Coding in IEEE/ACM 16th Design Automation and Test in Europe Conference (DATE´13), Grenoble, France, DOI, PDF, Mar 18-22 2013. | |
Muhammad Shafique, Benjamin Vogel, Jörg Henkel Self-Adaptive Hybrid Dynamic Power Management for Many-Core Systems in IEEE/ACM 16th Design Automation and Test in Europe Conference (DATE´13), Grenoble, France, DOI, PDF, Mar 18-22 2013. | |
Zhonglei Wang, Jörg Henkel Fast and accurate data cache modeling in source-level simulation of embedded software in IEEE/ACM 16th Design Automation and Test in Europe Conference (DATE´13), Grenoble, France, DOI, PDF, Mar 18-22 2013. | |
Jörg Henkel, Thomas Ebi, Hussam Amrouch, Heba Khdr Thermal Management for Dependable on-chip Systems in 18th Asia and South Pacific Design Automation Conference (ASP-DAC’13), Yokohama, Japan, DOI, PDF, Jan 22-25 2013. |
Editorials | |
---|---|
Todor Stefanov, Maurizio Palesi, Jian-Jia Chen, Jörg Henkel Message from the chairs in The 11th IEEE Symposium on Embedded Systems for Real-time Multimedia (ESTImedia2013) , Montreal, QC, Canada, DOI, PDF, Sep 29-Oct 4 2013. | |
Jian-Jia Chen, Jörg Henkel, Xiaobo Sharon Hu Guest Editorial Special Section on Power-Aware Design for Embedded Systems in IEEE Trans. Industrial Informatics (Volume 9, Issue 1), DOI, PDF, Feb 2013. |
Books / Book Chapter | |
---|---|
Bruno Zatt, Muhammad Shafique, Sergio Bampi, Jörg Henkel 3D Video Coding for Embedded Devices - Energy Efficient Algorithms and Architectures Springer Science+Business Media, LLC, DOI, 2013. ISBN 978-1-4614-6758-8 |
Workshop | |
---|---|
Jörg Henkel Embedded on-chip reliability: it's a thermal challenge in International Workshop on Software and Compilers for Embedded Systems, M-SCOPES '13, Sankt Goar, Germany, DOI, Jun 19-21 2013. | |
Janmartin Jahn, Sebastian Kobbe, Santiago Pagani, Jian-Jia Chen, Jörg Henkel Runtime resource allocation for software pipelines in International Workshop on Software and Compilers for Embedded Systems, M-SCOPES '13, Sankt Goar, Germany, DOI, PDF, Jun 19-21 2013. | |
Andreas Herkersdorf, Michael Engel, Michael Glaß, Jörg Henkel, Veit B. Kleeberger, Michael A. Kochte, Johannes M. Kühn, Sani R. Nassif, Holm Rauchfuss, Wolfgang Rosenstiel, Ulf Schlichtmann, Muhammad Shafique, Mehdi B. Tahoori, Jürgen Teich, Nobert Wehn, Christian Weis, Hans-Joachim Wunderlich Cross-Layer Dependability Modeling and Abstraction in System on Chip in The 9th Workshop on Silicon Errors in Logic - System Effects (SELSE), Stanford, USA, Mar 26-27 2013. |
Year 2012
Journals | |
---|---|
Al Faruque, M.A.; Ebi, T.; Henkel, J. AdNoC: Runtime Adaptive Network-on-Chip Architecture in IEEE Transaction on Very Large Scale Integration Systems (Volume 20, Issue 2), DOI, PDF, Feb 2012. |
Conferences | |
---|---|
Hsieh, C.; Wang, Z.; Henkel, J. A Reconfigurable Hardware Accelerated Platform for Clustered Wireless Sensor Networks in IEEE 18th International Conference on Parallel and Distributed Systems (ICPADS 2012), Singapore, Singapore, DOI, PDF, Dec 17-19 2012. | |
Ebi, T.; Amrouch, H.; Henkel, J. COOL: Control-based Optimization Of Load-balancing for Thermal Behavior in IEEE International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'12), Tampere, Finland, DOI, PDF, Oct 7-12 2012. | |
Khan, M. U. K.; Shafique, M.; Henkel, J. A Hierarchical Control Scheme for Energy Quota Distribution in Hybrid Distributed Video Coding in IEEE International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'12), Tampere, Finland, DOI, PDF, Oct 7-12 2012. | |
Wang, Z.; Henkel, J. HyCoS: Hybrid Compiled Simulation of Embedded Software with Target Dependent Code in IEEE International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'12), Tampere, Finland, DOI, PDF, Oct 7-12 2012. | |
Jahn, J.; Kobbe, S.; Pagani, S.; Chen, J.; Henkel, J. Work in Progress: Malleable Software Pipelines for Efficient Many-core System Utilization in Proceedings of the 6th Many-core Applications Research Community (MARC) Symposium, Toulouse, France, DOI, PDF, Jul 19-20 2012. | |
Michael Hübner, Diana Göhringer, Carsten Tradowsky, Jörg Henkel, Jürgen Becker: Adaptive processor architecture (invited) in 2012 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, SAMOS XII, Samos, Greece, DOI, PDF, Jul 16-19 2012. | |
Vizzotto, B.; Zatt, B.; Shafique, M.; Bampi, S.; Henkel, J. A Model Predictive Controller for Frame-Level Rate Control in Multiview Video Coding in IEEE International Conference on Multimedia and Expo (ICME'12), Melbourne, Australia, DOI, PDF, Jul 9-13 2012. | |
Feng, J.; Wang, Z.; Henkel, J. An Adaptive Data Gathering Strategy for Target Tracking in Cluster-based Wireless Sensor Networks in the 17th IEEE symposium on Computers and Communications (ISCC´12), Cappadocia, Turkey, DOI, PDF, Jul 1-4 2012. | |
Abdelfattah, M.; Bauer, L.; Braun, C.; Imhof, M. E.; Kochte, M. A.; Zhang, H.; Henkel, J.; Wunderlich, H.-J. Transparent Structural Online Test for Reconfigurable Systems in IEEE International On-Line Testing Symposium (IOLTS'12), Sitges, Spain, DOI, PDF, Jun 2012. | |
Bauer, L.; Braun, C.; Imhof, M. E.; Kochte, M. A.; Zhang, H.; Wunderlich, H.-J.; Henkel, J. OTERA: Online Test Strategies for Reliable Reconfigurable Architectures in NASA/ESA Conference on Adaptive Hardware and Systems (AHS´12), Nuremberg, Germany, DOI, PDF, Jun 2012. | |
Rehman, S.; Shafique, M.; Henkel, J. Instruction Scheduling for Reliability-Aware Compilation in 49th ACM/EDA/IEEE Design Automation Conference (DAC´12), San Francisco, CA, USA, DOI, PDF, Jun 2012. | |
Shafique, M.; Zatt, B.; Walter, F.L.; Bampi, S.; Henkel, J. Adaptive Power Management of On-Chip Video Memory for Multiview Video Coding in 49th ACM/EDA/IEEE Design Automation Conference (DAC´12), San Francisco, CA, USA, DOI, PDF, Jun 2012. | |
Jörg Henkel, Oliver Bringmann, Andreas Herkersdorf, Wolfgang Rosenstiel, Norbert Wehn Dependable embedded systems: The German research foundation DFG priority program SPP 1500 in 17th IEEE European Test Symposium, ETS 2012, Annecy, France, DOI, PDF, May 28 - Jun 1 2012. | |
Shafique, M.; Zatt, B.; Henkel, J. A Complexity Reduction Scheme with Adaptive Search Direction and Mode Elimination for Multiview Video Coding in 29th Picture Coding Symposium (PCS´12), Kraków, Poland, DOI, PDF, May 2012. | |
Bauer, L.; Grudnitsky, A.; Shafique, M.; Henkel, J. PATS: a Performance Aware Task Scheduler for Runtime Reconfigurable Processors in 20th Annual International IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM'12), Toronto, Canada, DOI, PDF, Apr 2012. | |
Hsieh, C.; Wang, Z.; Henkel, J. ECO/ee: Energy-aware Collaborative Organic Execution Environment for Wireless Sensor Networks in IEEE Wireless Communications and Networking Conference (WCNC´12), Paris, France, DOI, PDF, Apr 2012. | |
Henkel, J.; Herkersdorf, A.; Bauer, L.; Wild, T.; Hübner, M; Pujari, R.K.; Grudnitsky, A.; Heisswolf, J.; Zaib, A.; Vogel, B.; Lari, V.; Kobbe, S.: Invasive Manycore Architectures in 17th Asia and South Pacific Design Automation Conference (ASP-DAC'12), Sydney, Australia, DOI, PDF, Jan 2012. | |
Rehman, S.; Shafique, M.; Kriebel, F.; Henkel, J. RAISE: Reliability-Aware Instruction Scheduling for Unreliable Hardware in 17th Asia and South Pacific Design Automation Conference (ASP-DAC'12), Sydney, Australia, DOI, PDF, Jan 2012. | |
Grudnitsky, A.; Bauer, L.; Henkel, J. Partial Online-Synthesis for Mixed-Grained Reconfigurable Architectures in IEEE/ACM 15th Design Automation and Test in Europe Conference (DATE´12), Dresden, Germany, DOI, PDF, Mar 2012. | |
Hameed, F.; Bauer, L.; Henkel, J. Dynamic Cache Management in Multi-Core Architectures through Run-time Adaptation in IEEE/ACM 15th Design Automation and Test in Europe Conference (DATE´12), Dresden, Germany, DOI, PDF, Mar 2012. | |
Shafique, M.; Zatt, B.; Rehman, S.; Kriebel, F.; Henkel, J. Power-Efficient Error-Resiliency for H.264/AVC Context-Adaptive Variable Length Coding in IEEE/ACM 15th Design Automation and Test in Europe Conference (DATE´12), Dresden, Germany, DOI, PDF, Mar 2012. | |
Wang, Z.; Henkel, J. Accurate Source-Level Simulation of Embedded Software with Respect to Compiler Optimizations in IEEE/ACM 15th Design Automation and Test in Europe Conference (DATE´12), Dresden, Germany, DOI, PDF, Mar 2012. |
Year 2011
Conferences | |
---|---|
Srouji, M.S.; Wang, Z.; Henkel, J. RDTS: A Reliable Erasure-Coding Based Data Transfer Scheme for Wireless Sensor Networks in IEEE International Conference on Parallel and Distributed Systems (ICPADS'11), Tainan, Taiwan, DOI, PDF, Dec 2011. | |
Huebner, M.; Tradowsky, C.; Goehringer, D.; Braun, L.; Thoma, F.; Henkel, J.; Becker, J. Dynamic processor reconfiguration in IEEE International Conference on ReConFigurable Computing and FPGAs (ReConFig'11), Cancun, Mexico, DOI, PDF, Nov 2011. | |
Javaid, H.; Shafique, M.; Henkel, J.; Parameswaran, S. System-Level Application-Aware Dynamic Power Management in Adaptive Pipelined MPSoCs for Multimedia in IEEE/ACM International Conference on Computer-Aided Design (ICCAD'11), San Jose, CA, USA, DOI, PDF, Nov 2011. | |
Zatt, B.; Shafique, M.; Bampi, S.; Henkel, J. A Low-Power Memory Architecture with Application-Aware Power Management for Motion & Disparity Estimation in Multiview Video Coding in IEEE/ACM International Conference on Computer-Aided Design (ICCAD'11), San Jose, CA, USA, DOI, PDF, Nov 2011. | |
Ahmed, W.; Shafique, M.; Bauer, L.; Henkel, J. Adaptive Resource Management for Simultaneous Multitasking in Mixed-Grained Reconfigurable Multi-core Processors in IEEE International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'11), Taipei, Taiwan, DOI, PDF, Oct 2011. | |
Ebi, T.; Kramer, D.; Karl, W.; Henkel, J. Economic Learning for Thermal-aware Power Budgeting in Many-core Architectures in IEEE International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'11), Taipei, Taiwan, DOI, PDF, Oct 2011. | |
Henkel, J.; Bauer, L.; Becker, J.; Bringmann, O.; Brinkschulte, U.; Chakraborty, S.; Engel, M.; Ernst, R.; Härtig, H.; Hedrich, L.; Herkersdorf, A.; Kapitza, R.; Lohmann, D.; Marwedel, P.; Platzner, M.; Rosenstiel, W.; Schlichtmann, U.; Spinczyk, O.; Tahoori, M.B.; Teich, J.; Wehn, N.; Wunderlich, H.J. Design and architectures for dependable embedded systems in IEEE International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'11), Taipei, Taiwan, DOI, PDF, Oct 2011. | |
Kobbe, S.; Bauer, L.; Henkel, J.; Lohmann, D.; Schröder-Preikschat, W. DistRM: Distributed Resource Management for On-Chip Many-Core Systems in IEEE International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'11), Taipei, Taiwan, DOI, PDF, Oct 2011. | |
Rehman, S.; Shafique, M.; Kriebel, F.; Henkel, J. Reliable Software for Unreliable Hardware: Embedded Code Generation aiming at Reliability in IEEE International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'11), Taipei, Taiwan, DOI, PDF, Oct 2011. Best Paper Award | |
Ebi, T.; Rauchfuss, H.; Herkersdorf, A.; Henkel, J. Agent-based Thermal Management using Real-Time I/O Communication Relocation for 3D Many-Cores" in International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS) 2011, Madrid, Spain, DOI, PDF, Sep 2011. | |
Rehman, S.; Shafique, M.; Kriebel, F.; Henkel, J. ReVC: Computationally Reliable Video Coding on Unreliable Hardware Platforms: A Case Study on Error-Tolerant H.264/AVC CAVLC Entropy Coding in IEEE 18th International Conference on Image Processing (ICIP´11), Brussels, Belgium, DOI, PDF, Sep 2011. | |
Shafique, M.; Tüfek, A.O.; Henkel, J. A High-Throughput Parallel Hardware Architecture for H.264/AVC CAVLC Encoding in IEEE 18th International Conference on Image Processing (ICIP´11), Brussels, Belgium, DOI, PDF, Sep 2011. | |
Zatt, B.; Shafique, M.; Bampi, S.; Henkel, J. A Multi-Level Dynamic Complexity Reduction Scheme for Multiview Video Coding in IEEE 18th International Conference on Image Processing (ICIP´11), Brussels, Belgium, DOI, PDF, Sep 2011. | |
Henkel, J.; Bauer, L.; Hübner, M.; Grudnitsky, A. i-Core: A run-time adaptive processor for embedded multi-core systems (invited) in International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA'11), Las Vegas, Nevada, USA, Jul 2011. | |
Bauer, L.; Shafique, M.; Henkel, J. Concepts, Architectures, and Run-time Systems for Efficient and Adaptive Reconfigurable Processors in NASA/ESA 6th Conference on Adaptive Hardware and Systems (AHS´11), San Diego, CA, USA (invited), DOI, PDF, Jun 2011. Best Paper Award | |
Figuli, P.; Huebner, M.; Girardey, R.; Bapp, F.; Bruckschlögl, T.; Thoma, F.; Henkel, J.; Becker, J. A heterogeneous SoC architecture with embedded virtual FPGA cores and runtime core fusion (invited) in NASA/ESA 6th Conference on Adaptive Hardware and Systems (AHS´11), San Diego, CA, USA, DOI, PDF, Jun 2011. | |
Iqbal, N.; Siddique, M.A.; Henkel, J. SEAL: Soft Error Aware Low Power Scheduling by Monte Carlo State Space Under the Influence of Stochastic Spatial and Temporal Dependencies in 48th ACM/EDA/IEEE Design Automation Conference (DAC´11), San Diego, CA, USA, DOI, PDF, Jun 2011. | |
Javed, H.; Shafique, M.; Parameswaran, S.; Henkel, J. Low-Power Adaptive Pipelined MPSoCs for Multimedia: An H.264 Video Encoder Case Study in 48th ACM/EDA/IEEE Design Automation Conference (DAC´11), San Diego, CA, USA, DOI, PDF, Jun 2011. | |
Zatt, B.; Shafique, M.; Sampaio, F.; Agostini, L.; Bampi, S.; Henkel, J. Run-Time Adaptive Energy-Aware Motion and Disparity Estimation in Multiview Video Coding in 48th ACM/EDA/IEEE Design Automation Conference (DAC´11), San Diego, CA, USA, DOI, PDF, Jun 2011. | |
Ahmed, W.; Shafique, M.; Bauer, L.; Hammerich, M.; Henkel, J.; Becker, J. Run-Time Resource Allocation for Simultaneous Multi-Tasking in Multi-Core Reconfigurable Processors in IEEE 19th Symposium on Field-Programmable Custom Computing Machines (FCCM´11), Salt Lake City, Utah, USA, DOI, PDF, May 2011. | |
Ahmed, W.; Shafique, M.; Bauer, L.; Henkel, J. mRTS: Run-Time System for Reconfigurable Processors with Multi-Grained Instruction-Set Extensions in IEEE/ACM 14th Design Automation and Test in Europe Conference (DATE´11), Grenoble, France, DOI, PDF, Mar 2011. | |
Hameed, F.; Al Faruque, M.A.; Henkel, J. Dynamic Thermal Management in 3D Multi-Core Architecture through Run-time Adaptation in IEEE/ACM 14th Design Automation and Test in Europe Conference (DATE´11), Grenoble, France, DOI, PDF, Mar 2011. | |
Jahn, J.; Al Faruque, M.A.; Henkel, J. CARAT: Context-Aware Runtime Adaptive Task Migration for Multi Core Architectures in IEEE/ACM 14th Design Automation and Test in Europe Conference (DATE´11), Grenoble, France, DOI, PDF, Mar 2011. | |
Shafique, M.; Bauer, L.; Ahmed, W.; Henkel, J. Minority-Game-based Resource Allocation for Run-Time Reconfigurable Multi-Core Processors in IEEE/ACM 14th Design Automation and Test in Europe Conference (DATE´11), Grenoble, France, DOI, PDF, Mar 2011. | |
Zatt, B.; Shafique, M.; Bampi, S.; Henkel, J. Multi-Level Pipelined Parallel Hardware Architecture for High Throughput Motion and Disparity Estimation in Multiview Video Coding in IEEE/ACM 14th Design Automation and Test in Europe Conference (DATE´11), Grenoble, France, DOI, PDF, Mar 2011. | |
Amrouch, H.; Henkel, J. Self-Immunity Technique to Improve Register File Integrity against Soft Errors in 24th International Conference on VLSI Design (VLSID'11), Chennai, India, DOI, PDF, Jan 2011. |
Books / Book Chapter | |
---|---|
Teich, J.; Henkel, J.; Herkersdorf, A.; Schmitt-Landsiedel, D.; Schröder-Preikschat, W.; Snelting, G. Invasive Computing: An Overview in Multiprocessor System-on-Chip -- Hardware Design and Tool Integration, M. Hübner and J. Becker (Eds.), Springer, DOI, 2011. | |
Ebi, T.; Jahn, J.; Henkel, J. Agent-Based Thermal Management for Multi-core Architectures Organic Computing --- A Paradigm Shift for Complex Systems, Springer Basel, Series "Autonomic Systems" (Volume 1, Part 2), 2011. ISBN 978-3-0348-0130-0 | |
Ebi, T.; Kramer, D.; Schuck, C.; von Renteln, A.; Becker, J.; Brinkschulte, U.; Henkel, J.; Karl, W. DodOrg --- A Self-adaptive Organic Many-core Architectures Organic Computing --- A Paradigm Shift for Complex Systems, Springer Basel, Series "Autonomic Systems" (Volume 1, Part 2), 2011. ISBN 978-3-0348-0130-0 | |
Shafique, M.; Henkel, J. Hardware/Software Architectures for Low-Power Embedded Multimedia Systems Springer Science+Business Media, LLC, 2011. ISBN 978-1-4419-9691-6 | |
Bauer, L.; Henkel, J. Run-time Adaptation for Reconfigurable Embedded Processors Springer Science+Business Media, LLC, DOI, 2011. ISBN 978-1-4419-7411-2 e-ISBN 978-1-4419-7412-9 |
Workshop | |
---|---|
Munawar, W.; Jahn, J.; Aleinikov, A.; Chen, J-J.; Henkel, J. An Empirical Feedback Provider for Multi Core Schedulers in Intel MARC Symposium in Ettlingen, Karlsruhe, Germany, DOI, PDF, Jul 2011. | |
Oechslein, B.; Schedel, J.; Kleinöder, J.; Bauer, L.; Henkel, J.; Lohmann, D.; Schröder-Preikschat, W. OctoPOS: A Parallel Operating System for Invasive Computing in Systems for Future Multi-Core Architectures (SFMA), co-located with EuroSys 2011, Salzburg, Austria, Apr 2011. |
PhD Thesis | |
---|---|
Shafique, Muhammad Architectures for Adaptive Low-Power Embedded Multimedia Systems Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2011. |
Year 2010
Journals | |
---|---|
Chang, N. Henkel, J. Current Trends in Low Power Design (Guest Editorial) in ACM Transactions on Design Automation of Electronic Systems (ACM TODAES) (Volume 16, Issue 1), DOI, PDF, Nov 2010. | |
Al Faruque, M.A.; Jahn, J.; Ebi, T.; Henkel, J. Runtime Thermal Management Using Software Agents for Multi/Many-Core Architectures in IEEE Design & Test (IEEE D&T), Special Issue on Post-Silicon Calibration and Repair for Yield and Reliability Improvement (Volume 27, Issue. 6), DOI, PDF, Nov 2010. | |
Bonny, T.; Henkel, J. Huffman-based code compression technique for embedded processors in ACM Trans. Design Autom. Electr. Syst. (TOADES) (Volume 15, Issue 4), DOI, PDF, Oct 2010. | |
Henkel, J.; Parameswaran, S. CASES 2009 Guest Editorial in Design Automation for Embedded Systems (Springer) (Volume 14, Number 3), DOI, PDF, Sep 2010. | |
Shafique, M.; Bauer, L.; Henkel, J. Optimizing the H.264/AVC Video Encoder Application Structure for Reconfigurable and Application-Specific Platforms in Journal of Signal Processing Systems (JSPS), Special Issue: Embedded Multimedia Systems (Volume 60, Issue 2), DOI, PDF, Aug 2010. | |
Frantz, G.; Henkel, J.; Rabaey, J.; Schneider, T.; Wolf, M.; Batur, U. Ultra-Low Power Signal Processing in IEEE Signal Processing Magazine (Volume 27, Issue 2), DOI, PDF, Mar 2010. | |
Naehyuck Chang, Jörg Henkel Call for papers ACM transactions on design automation of electronic systems (TODAES) special section on low-power electronics and design. in ACM Transactions on Design Automation of Electronic Systems (TODAES), Volume 15 Issue 2, DOI, PDF, Feb 2010. |
Conferences | |
---|---|
Shafique, M.; Zatt, B.; Bampi, S.; Henkel, J. Power-Aware Complexity-Scalable Multiview Video Coding for Mobile Devices in 28th Picture Coding Symposium (PCS´10), Nagoya, Japan, DOI, PDF, Dec 2010. | |
Zatt, B.; Shafique, M.; Bampi, S.; Henkel, J. An Adaptive Early Skip Mode Decision Scheme for Multiview Video Coding in 28th Picture Coding Symposium (PCS´10), Nagoya, Japan, DOI, PDF, Dec 2010. | |
Iqbal, N.; Henkel, J. SETS: Stochastic Execution Time Scheduling for Multicore Systems by Joint State Space and Monte Carlo in IEEE/ACM International Conference on Computer-Aided Design (ICCAD´10), San Jose, CA, USA, DOI, PDF, Nov 2010. | |
Shafique, M.; Bauer, L.; Henkel, J. Selective Instruction Set Muting for Energy-Aware Adaptive Processors in IEEE/ACM International Conference on Computer-Aided Design (ICCAD´10), San Jose, CA, USA, DOI, PDF, Nov 2010. Best Paper Nomination | |
Naehyuck Chang, Jörg Henkel, Andy D. Pimentel, Petru Eles: Message from the chairs. in 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2010, Scottsdale, AZ, USA, Oct 28-29 2010. | |
Ebi, T.; Al Faruque, M.A.; Henkel, J. NeuroNoC: Neural Network Inspired Runtime Adaptation for an On-chip Communication Architecture in IEEE International Conference on Hardware-Software Codesign and System Synthesis (CODES+ISSS'10), Scottsdale, Arizona, AZ, USA, DOI, PDF, Oct 2010. | |
Iqbal, N.; Siddique, M.A.; Henkel, J. RMOT: Recursion in Model Order for Task Execution Time Estimation in a Software Pipeline in IEEE/ACM 13th Design Automation and Test in Europe Conference (DATE´10), Dresden, Germany, DOI, PDF, Mar 2010. | |
Iqbal, N.; Siddique, M.A.; Henkel, J. DAGS: Distribution Agnostic Sequential Monte Carlo Scheme for Task Execution Time Estimation in IEEE/ACM 13th Design Automation and Test in Europe Conference (DATE´10), Dresden, Germany, DOI, PDF, Mar 2010. | |
Koenig, R.; Bauer, L.; Stripf, T.; Shafique, M.; Ahmed, W.; Becker, J.; Henkel, J. KAHRISMA: A Novel Hypermorphic Reconfigurable-Instruction-Set Multi-grained-Array Architecture in IEEE/ACM 13th Design Automation and Test in Europe Conference (DATE´10), Dresden, Germany, DOI, PDF, Mar 2010. | |
Shafique, M.; Bauer, L.; Henkel, J. enBudget: A Run-Time Adaptive Predictive Energy-Budgeting Scheme for Energy-Aware Motion Estimation in H.264/MPEG-4 AVC Video Encoder in IEEE/ACM 13th Design Automation and Test in Europe Conference (DATE´10), Dresden, Germany, DOI, PDF, Mar 2010. | |
Shafique, M.; Molkenthin, B.; Henkel, J. An HVS-based Adaptive Computational Complexity Reduction Scheme for H.264/AVC Video Encoder using Prognostic Early Mode Exclusion in IEEE/ACM 13th Design Automation and Test in Europe Conference (DATE´10), Dresden, Germany, DOI, PDF, Mar 2010. |
PhD Thesis | |
---|---|
Hillenbrand, Dominic A Flexible Design Space Exploration Platform for Wireless Sensor Networks Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2010. |
PhD-Forum | |
---|---|
Al Faruque, M.A.; Henkel, J. Runtime Adaptive System-on-Chip Communication Architecture in EDAA/ACM SIGDA PhD Forum at Design, Automation & Test in Europe Conference (DATE'10), Dresden, Germany, Mar 2010. |
Year 2009
Conferences | |
---|---|
Shafique, M.; Bauer, L.; Henkel, J. TAPE: Thermal-Aware Agent-Based Power Economy for Multi/Many-Core Architectures in IEEE/ACM 27th International Conference on Computer-Aided Design (ICCAD´09), San Jose, CA, USA, DOI, PDF, Nov 2009. Best Paper Award | |
Shafique, M.; Molkenthin, B.; Henkel, J. Non-Linear Rate Control for H.264/AVC Video Encoder with Multiple Picture Types using Image-Statistics and Motion-Based Macroblock Prioritization in 16th IEEE International Conference on Image Processing (ICIP´09), Cairo, Egypt, DOI, PDF, Nov 2009. | |
Bauer, L.; Shafique, M.; Henkel, J. MinDeg: A Performance-guided Replacement Policy for Run-time Reconfigurable Accelerators in IEEE International Conference on Hardware-Software Codesign and System Synthesis (CODES+ISSS´09), Grenoble, France, DOI, PDF, Oct 2009. | |
Bonny, T.; Henkel, J. LICT: Left-uncompressed Instructions Compression Technique to Improve the Decoding Performance of VLIW Processors in 46th ACM/EDA/IEEE Design Automation Conference (DAC´09), San Fransisco CA, USA, DOI, PDF, Jul 2009. | |
Al Faruque, M.A.; Ebi, T.; Henkel, J. Configurable Links for Runtime Adaptive On-chip Communication in IEEE/ACM Design Automation and Test in Europe Conference (DATE’09), Nice, France, DOI, PDF, Apr 2009. | |
Bauer, L.; Shafique, M.; Henkel, J. Cross-Architectural Design Space Exploration Tool for Reconfigurable Processors in IEEE/ACM Design Automation and Test in Europe Conference (DATE’09), Nice, France, DOI, PDF, Apr 2009. | |
Iqbal, N.; Henkel, J. Efficient Constant-time Entropy Decoding for H.264 in IEEE/ACM Design Automation and Test in Europe Conference (DATE’09), Nice, France, DOI, PDF, Apr 2009. | |
Shafique, M.; Bauer, L.; Henkel, J. A Parallel Approach for High Performance Hardware Design of Intra Prediction in H.264/AVC Video Codec in IEEE/ACM Design Automation and Test in Europe Conference (DATE’09), Nice, France, DOI, PDF, Apr 2009. |
Tutorial | |
---|---|
Henkel, J.; Narayanan, V.; Parameswaran, S.; Ragel, R. Security and Dependability of Embedded Systems: Computer Architects’ Perspective Full-Day Tutorial at IEEE VLSI Design Conference, Delhi, DOI, PDF, Jan 8 2009. |
PhD Thesis | |
---|---|
Bauer, Lars RISPP: A Run-time Adaptive Reconfigurable Embedded Processor Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2009. | |
Al Faruque, Mohammad Abdullah Runtime Adaptive System-on-Chip Communication Architecture Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2009. | |
Bonny, Talal Huffman-based Code Compression Techniques for Embedded Systems Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2009. |
PhD-Forum | |
---|---|
Bauer, L.; Shafique, M.; Henkel, J. RISPP: A Run-time Adaptive Reconfigurable Embedded Processor in IEEE 19th International Conference on Field Programmable Logic and Application (FPL´09), Prague, Czech Republic, DOI, PDF, Aug./Sep. 2009. | |
Al Faruque, M.A.; Henkel, J. Runtime Adaptive System-on-Chip Communication Architecture in 12th ACM/SIGDA Ph.D. Forum at 46th ACM/EDA/IEEE Design Automation Conference (DAC´09), San Fransisco CA, USA, Jul 2009. | |
Bauer, L.; Shafique, M.; Henkel, J. RISPP: A Run-time Adaptive Reconfigurable Embedded Processor in 12th ACM/SIGDA Ph.D. Forum at 46th ACM/EDA/IEEE Design Automation Conference (DAC´09), San Fransisco CA, USA, Jul 2009. |
Year 2008
Journals | |
---|---|
Bonny, T.; Henkel, J. Efficient Code Compression for Embedded Processors in IEEE Transactions on Very Large Scale Integration Systems (TVLSI) (Volume 16, Issue 12), DOI, PDF, Dec 2008. | |
Kalla, P.; Hu, X.S.; Henkel, J. A Flexible Framework for Communication Evaluation in SoC Design in SoC Design in: International Journal of Parallel Programming (Volume 36, Number 5), DOI, PDF, Oct 2008. | |
Bauer, L.; Shafique, M.; Henkel, J. Efficient Resource Utilization for an Extensible Processor through Dynamic Instruction Set Adaptation in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), Special Section on Application-Specific Processors (Volume 16, Issue 10), DOI, PDF, Oct 2008. | |
Diana Marculescu, Jörg Henkel Guest Editorial Special Section on Low-Power Electronics and Design. in IEEE Transactions on Very Large Scale Integration (VLSI) Systems (Volume 16, Issue 6), DOI, PDF, Jun 2008. | |
Al Faruque, M.A.; Henkel, J. QoS-Supported On-chip Communication for Multi-Processors in International Journal of Parallel Programming (IJPP '08) (Volume 36, Issue 1), DOI, PDF, Feb 2008. | |
Serpanos, D.; Henkel, J. Dependability and Security Will Change Embedded Computing in IEEE Computer Magazine, DOI, PDF, Jan 2008. |
Conferences | |
---|---|
Al Faruque, M.A.; Ebi, T.; Henkel, J. ROAdNoC: Runtime Observability for an Adaptive Network on Chip Architecture in IEEE/ACM International Conference on Computer-Aided Design (ICCAD'08), San Jose, CA, USA, DOI, PDF, Nov 2008. | |
Bonny, T.; Henkel, J. FBT: Filled Buffer Technique to reduce Code Size for VLIW Processors in IEEE/ACM International Conference on Computer-Aided Design (ICCAD'08), San Jose, CA, USA, DOI, PDF, Nov 2008. | |
Bauer, L.; Shafique, M.; Henkel, J. A Computation- and Communication-Infrastructure for Modular Special Instructions in a Dynamically Reconfigurable Processor in IEEE 18th. International Conference on Field Programmable Logic and Applications (FPL’08), Heidelberg, Germany, DOI, PDF, Sep 2008. | |
Al Faruque, M.A.; Krist, R.; Henkel, J. ADAM: Run-time Agent-based Distributed Application Mapping for on-chip Communication in ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED’08), Bangalore, India, DOI, PDF, Aug 2008. | |
Bauer, L.; Shafique, M.; Henkel, J. Run-time Instruction Set Selection in a Transmutable Embedded Processor in ACM/IEEE/EDA 45th. Design Automation Conference (DAC’08), Anaheim, CA, USA, DOI, PDF, Jun 2008. | |
Al Faruque, M.A.; Henkel, J. Minimizing Virtual Channel Buffer for Routers in On-chip Communication Architectures in IEEE/ACM Design Automation and Test in Europe Conference (DATE’08), Munich, Germany, DOI, PDF, Mar 2008. | |
Bauer, L.; Shafique, M.; Kreutz, S.; Henkel, J. Run-time System for an Extensible Embedded Processor with Dynamic Instruction Set in IEEE/ACM Design Automation and Test in Europe Conference (DATE’08), Munich, Germany, DOI, PDF, Mar 2008. Best Paper Award | |
Bonny, T.; Henkel, J. Instruction Re-encoding Facilitating Dense Embedded Code in IEEE/ACM Design Automation and Test in Europe Conference (DATE’08), Munich, Germany, DOI, PDF, Mar 2008. | |
Hillenbrand, D.; Henkel, J. Block Cache for Embedded Systems in IEEE/ACM 13th Asia and South Pacific Design Automation Conference (ASP-DAC'08), Seoul, Korea, DOI, PDF, Jan 2008. |
Panels | |
---|---|
Collins, E.; Kogel, T.; Topham, N.; Bagherzadeh, N.; Henkel, J. GP vs. ASP: Are ASIPS just a short-term transition in computing? Panel at IEEE Symposium on Application Specific Processors (SASP’08), Anaheim, CA, Moderator: Grant Martin, Jun 2008. | |
Chen, D.; Dutt, N.; Henkel, J.; Kim, K.; Kobayashi, K. Best Ways to Use Billions of Devices on a Chip Panel at IEEE/ACM 13th Asia and South Pacific Design Automation Conference (ASP-DAC’08), Seoul, Korea, Moderator: Grant Martin, Jan 2008. |
Year 2007
Patents | |
---|---|
Lekatsas, H.; Henkel, J.; Chakradhar, S.; Jakkula, V. Compressed memory architecture for embedded systems, US Patent No. 7,302,543, issued November 27 2007. | |
Chakradhar, S.; Henkel, J.; Jakkula, V.; Lekatsas, H.; Murugan, S. Hardware/software platform for rapid prototyping of code compression technologies, US Patent No. 7,203,935 , issued April 10 2007. |
Conferences | |
---|---|
Al Faruque, M.A.; Ebi, T.; Henkel, J. Run-time Adaptive on-chip Communication Scheme in IEEE/ACM International Conference on Computer-Aided Design (ICCAD'07), San Jose, California, USA, DOI, PDF, Nov 2007. | |
Al Faruque, M.A.; Henkel, J. Transaction Specific Virtual Channel Allocation in QoS Supported On-chip Communication in IEEE/ACM 18th. International Conference on Application-specific Systems, Architectures and Processors (ASAP'07), Montreal , Canada, DOI, PDF, Jul 2007. | |
Bauer, L.; Shafique, M.; Teufel, D.; Henkel, J. A Self-Adaptive Extensible Embedded Processor in IEEE/ACM International Conference on Self-Adaptive and Self-Organizing Systems (SASO'07), Boston, MA, USA, DOI, PDF, Jul 2007. | |
Bauer, L.; Shafique, M.; Kramer, S.; Henkel, J. RISPP: Rotating Instruction Set Processing Platform in ACM/IEEE/EDA 44th. Design Automation Conference (DAC'07), San Diego, CA, USA, DOI, PDF, Jun 2007. | |
Bonny, T.; Henkel, J. Instruction Splitting for Efficient Code Compression in ACM/IEEE/EDA 44th. Design Automation Conference (DAC'07), San Diego, CA, USA, DOI, PDF, Jun 2007. | |
Bonny, T.; Henkel, J. Efficient Code Density Through Look-up Table Compression in IEEE/ACM Design Automation and Test in Europe Conference (DATE'07), Nice, France, DOI, PDF, Apr 16-20 2007. | |
Janapsatya, A.; Ignjatovic, A.; Parameswaran, S.; Henkel, J. Instruction Trace Compression for Rapid Instruction Cache Simulation in IEEE/ACM Design Automation and Test in Europe Conference (DATE'07), Nice, France, DOI, PDF, Apr 16-20 2007. |
Exhibition | |
---|---|
Hillenbrand, D.; Mende, M.; Armstrong, T.; Henkel, J. Hyperion: A sensor node test bed for (high-speed) power measurements in IEEE/ACM Design Automation and Test in Europe Conference (DATE'07), Session 8: "Power Management and Exhibition",Submission 82, Nice, France, Apr 2007. |
Books / Book Chapter | |
---|---|
Parameswaran, S.; Henkel, J.; Janapsatya, A.; Bonny, T.; Ignjatovic, A. Design and Run Time Code Compression for Embedded Systems in "Designing Embedded Processors J. Henkel and S. Parameswaran (Eds.), Springer, 2007. | |
Henkel, J.; Parameswaran, S.; Cheung, N. Application-Specific Embedded Processors in "Designing Embedded Processors" J. Henkel and S. Parameswaran (Eds.), Springer, 2007. | |
Henkel, J.; Parameswaran, S. Designing Embedded Processors - A low power perspective Springer, 2007. ISBN 978-1-4020-5868-4 (HB) ISBN 978-1-4020-5869-1 (e-book) |
Workshop | |
---|---|
Bauer, L.; Shafique, M.; Henkel, J. Efficient Resource Utilization for an Extensible Processor through Dynamic Instruction Set Adaptation in 5th Workshop on Application Specific Processors (WASP'07), Salzburg, Austria, Oct 2007. | |
Shafique, M.; Bauer, L.; Henkel, J. An Optimized Application Architecture of the H.264 Video Encoder for Application Specific Platforms in IEEE 5th Workshop on Embedded Systems for Real-Time Multimedia (ESTIMedia'07),Salzburg, Austria, DOI, PDF, Oct 2007. |
Year 2006
Journals | |
---|---|
Xu, J.; Wolf, W.; Henkel, J.; Chakradar, S.T. A design methodology for application-specific networks-on-chip in ACM Trans. Embedded Comput. Syst. (Volume 5, Issue 2), DOI, PDF, May 2006. | |
Kalla, P.; Hu, X.S.; Henkel, J. Distance-based recent use (DRU): an enhancement to instruction cache replacement policies for transition energy reduction in IEEE Transactions on Very Large Scale Integration Systems (TVLSI) (Volume 14, Issue 1), DOI, PDF, Jan 2006. |
Conferences | |
---|---|
Al Faruque, M.A.; Weiss, G.; Henkel, J. Bounded Arbitration Algorithm or QoS-Supported On-chip Communication in IEEE/ACM Int'l Conference on Hardware/Software Co-Design and System Synthesis (Codes+ISSS'06), Seoul, Korea, DOI, PDF, Oct 2006. | |
Bonny, T.; Henkel, J. Using Lin-Kernighan Algorithm for Look-up Table Compression to Improve Code Density in Proc. of IEEE/ACM 16th. Great Lakes Symposium on VLSI (GLSVLSI'06), Philadelphia, USA, DOI, PDF, Apr 30 - May 2 2006. | |
Jürgen Becker, Kurt Brändle, Uwe Brinkschulte, Jörg Henkel, Wolfgang Karl, Thorsten Köster, Michael Wenz, Heinz Wörn Digital On-Demand Computing Organism for Real-Time Systems in ARCS 2006 - 19th International Conference on Architecture of Computing Systems, Frankfurt am Main, Germany, DOI, PDF, March 16 2006. | |
Lekatsas, H.; Henkel, J.; Jakkula, V.; Chakradhar, S. Using Shiftable Content Addressable Memories to Double Memory Capacity on Embedded Systems in IEEE 19th. International Conference on VLSI Design 2006, DOI, PDF, Jan 3-7 2006. |
Books / Book Chapter | |
---|---|
Cheung, N.; Henkel, J.; Parameswaran, S. Instruction Matching and Modelling in "Customizable and Configurable Embedded Processors",Publisher: Lenne, P.; Leupers, R.; Elsevier: Morgan Kaufmann, 2006. |
Workshop | |
---|---|
Al Faruque, M.A.; YE, X.; Weiss, G.; Henkel, J. QoS-Oriented Configurable Networks on Chip Poster in Workshop Future Interconnects and Networks on Chip in Design Automation and Test in Europe (DATE'06), Munich, Germany, Mar 2006. | |
Ziller, R.; Schmid, D. Erstellung korrekter Spezifikationen für diskrete Systeme in GI/ITG/GMM - Workshop Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen 2006, Dresden, Germany, Feb 2006. |
Year 2005
Patents | |
---|---|
Henkel, J.; Lekatsas, H.; Jakkula, V. Apparatus for one-cycle decompression of compressed data and methods of operation thereof US Patent No. 6,892,292, issued May 10 2005. | |
Henkel, J.; Vahid, F.; Givargis, T. Method for core-based system-level power modeling using object-oriented techniques US Patent No. 6,865,526, issued March 8 2005. |
Journals | |
---|---|
Lekatsas, H.; Henkel, J.; Wolf, W. Approximate arithmetic coding for bus transition reduction in low power designs in IEEE Transactions on VLSI Systems (Volume 13, Issue 6), DOI, PDF, Jun 2005. | |
Parameswaran, S.; Henkel, J. Instruction Code Mapping for Performance Increase and Energy Reduction in Embedded Computer Systems in IEEE Transactions on VLSI Systems (Volume 13, Issue 4), DOI, PDF, Apr 2005. | |
Bhattacharyya, S.S.; Henkel, J.; Hu, Xiaobo S. Hardware/software codesign for DSP in IEEE Signal Processing Magazine (Volume 22, Issue 3), DOI, PDF, May 2005. | |
Ziller, R.; Schneider, K. Combining Supervisor Synthesis and Model Checking in ACM Transactions on Embedded Computing Systems (Volume 4, Issue 2), May 2005. | |
Lv, T.; Jiang Xu; Wolf, W.; Ozer, I.B.; Henkel, J.; Chakradhar, S.T. A Methodology for Architectural Design of Multimedia Multiprocessor SoCs in IEEE Design & Test of Computers (Volume 22, Issue 1), DOI, PDF, Jan 2005. |
Conferences | |
---|---|
Feldbusch, F.; Kaiser, F. Simulation of spiking neural nets with INSpiRE ME in IEEE Systems, Man and Cybernetics 2005, Volume 2, Hawaii, Oct. 10-12 2005. | |
Ziller, R. An Application of Generalized Supervisor Synthesis to the Control of a Call Center in Forum on Specification and Design Languages 2005, Lausanne, Switzerland0, Sep 2005. | |
Xu, J.; Wolf, W.; Henkel, J.; Chakradhar, S. H. 264 HDTV Decoder Using Application-Specific Networks-On-Chip in IEEE International Symposium on Multimedia and Expo, ICME'05, Amsterdam, The Netherlands, DOI, PDF, Jul 6-8 2005. | |
Karuri, K.; Al Faruque, M.; Kraemer, S.; Leupers, R.; Ascheid, G.; Meyr, H. Fine-grained Application Source Code Profiling for ASIP Design in 42nd. Design Automation Conference, DAC'05, California, USA, DOI, PDF, Jun 13-17 2005. | |
Xu, J.; Wolf, W.; Henkel, J.; Chakradhar, S. A methodology for design, modeling, and analysis of networks-on-chip in IEEE International Symposium on Circuits and Systems, ISCAS'05, Vol.2, Kobe, Japan, DOI, PDF, May 23-26 2005. | |
Cheung, N.; Parameswaran, S.; Henkel, J. Battery-Aware Instruction Generation for Embedded Processors in IEEE Asia South Pacific Design Automation Conference, ASP-DAC'05, Shanghai, China, DOI, PDF, Jan 21 2005. | |
Kalla, P.; Hu, X.S.; Henkel, J. A Flexible Framework for Communication Evaluation in SoC Design in IEEE Asia South Pacific Design Automation Conference, ASP-DAC'05, Shanghai, China, DOI, PDF, Jan 21 2005. | |
Lekatsas, H.; Henkel, J.; Jakkula, V.; Chakradhar, S. A unified architecture for adaptive compression of data and code on embedded systems in IEEE Proc. of 18th. the International Conference on VLSI Design 2005, Kolkata, DOI, PDF, Jan 3-7 2005. |
PhD Thesis | |
---|---|
Syrjakow, E. Eine Komponentenarchitektur zur Integration heterogener Modellierungswerkzeuge Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, 2005. | |
Ziller, R. Eine Verallgemeinerung der Überwachersynthese mit Hilfe des µ-Kalküls Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, 2005. |
Year 2004
Patents | |
---|---|
Henkel, J., Lekatsas, H. Method and apparatus for adaptive bus coding for low power deep submicron designs US Patent No. 6,741,190, issued May 25 2004. | |
Henkel, J., Wolf, W., Lekatsas, H. Method and apparatus for object code compression and decompression for computer systems US Patent No. 6,732,256, issued May 4 2004. | |
Henkel, J., Wolf, W., Lekatsas, H. Object code compression using different schemes for different instruction types US Patent No. 6,691,305, issued Feb. 10 2004. |
Journals | |
---|---|
Lekatsas, H., Henkel, J., Chakradhar, S., Jakkula, V. Cypress: Compression and Encryption of Data and Code for Embedded Multimedia Systems in IEEE Proceedings of the Design & Test of Computers (Volume 21, Issue 5), DOI, PDF, May 2004. |
Conferences | |
---|---|
Syrjakow, M., Syrjakow, E., Szczerbicka, H. Tool Support for Performance Modeling and Optimization in Proceedings of the fifth Asia-Pacific Industrial Engineering and Management Systems Conference (APIEMS'04), Brisbane, Queensland, Australia, Dec 12-15 2004. | |
Janapsatya, A., Parameswaran, S., Henkel, J. REMcode: relocating embedded code for improving system efficiency in IEE Proceedings of Computers and Digital Techniques 2004, Volume 151, Issue 6, DOI, PDF, Nov. 18 2004. | |
Kapp, K., Sabelfeld, V. Automatic Correct Scheduling of Control Flow Intensive Behavioral Descriptions in Formal Synthesis in Proceedings of the 41st Design Automation Conference (DAC'04), San Diego, California, USA, Jun 7-11 2004. | |
Cheung, N., Parameswaran, S., Henkel, J., Chan, J. MINCE: Matching Instructions with Combinational Equivalence for Extensible Processor in IEEE/ACM Proceedings of Design Automation and Test in Europe Conference (DATE’04), Paris, France, DOI, PDF, Feb 16-20 2004. | |
Marculescu, R., Henkel, J., Pedram, M. Quo Vadis Multimedia? From Desktop Multimedia to Distributed Multimedia Systems in IEEE/ACM Proceedings of Design Automation and Test in Europe Conference (DATE’04), Paris, France, DOI, PDF, Feb 16-20 2004. | |
Xu, J., Wolf, W., Henkel, J., Chakradhar, S., Lv, T. A case study in networks-on-chip design for embedded video in IEEE/ACM Proceedings of Design Automation and Test in Europe Conference (DATE’04), Paris, France, DOI, PDF, Feb 16-20 2004. | |
Cheung, N., Parameswaran, S., Henkel, J. A Quantitative Study and Estimation Models for Extensible Instructions in Embedded Processors in IEEE/ACM Proceedings of International Conference on Computer-Aided Design (ICCAD'04), San Jose, California, USA, DOI, PDF, Nov 7-11 2004. | |
Henkel, J., Wolf, W., Chakradhar, S. On-chip networks: a scalable, communication-centric embedded system design paradigm in IEEE Proceedings of 17th. International Conference on VLSI Design 2004 (VLSI Design 2004), Mumbai, India, DOI, PDF, Jan 5-9 2004. |
Workshop | |
---|---|
Kapp, K., Sabelfeld, V. Scheduling of Control Flow Intensive Behavioral Descriptions in Formal Synthesis in GI/ITG/GMM Workshop "Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen",D. Stoffel, W. Kunz (Eds.), Kaiserslautern, Germany, Feb 24-25 2004. |
Year 2003
Patents | |
---|---|
Henkel, J. Low power hardware/software partitioning approach for core-based embedded systems US Patent No. 6, 622, 287 , issued Sep 16 2003. | |
Henkel, J.; Lekatsas, H. Method and apparatus for adaptive bus coding for low power deep submicron designs US Patent No. 6, 583, 735 , issued June 24 2003. |
Journals | |
---|---|
Kordon, F.; Henkel, J. An Overview of Rapid System Prototyping Today in Kluwer Journal on Design Automation for Embedded Systems (DAES) (Volume 8, Issue 4), DOI, PDF, Dec 2003. | |
Henkel, J. Closing the SoC design gap in Computer (Volume 36, Issue 9), DOI, PDF, Sep 2003. | |
Henkel, J.; Hu, X.S.; Bhattacharyya, S.S. Taking on the embedded system design challenge in Computer (Volume 36, Issue 4), DOI, PDF, Apr 2003. | |
Lv, T.; Henkel, J.; Lekatsas, H.; Wolf, W. A dictionary-based en/decoding scheme for low-power data buses in IEEE Transactions on Very Large Scale Integration Systems (TVLSI) (Volume 11, Issue 5), DOI, PDF, Oct 2003. | |
Feldbusch, F.; Ivanov, I.; Odendahl, M.; Paar, A. The BTRC Bluetooth Remote Control System in Personal and Ubiquitous Computing Journal (Volume 7), Jan 2003. |
Conferences | |
---|---|
Logothetis, G.; Schneider, K.; Metzler, C. Generating Formal Models for Real-Time Verification by Exact Low-Level Analysis of Synchronous Programs in the 24th IEEE International Real-Time Systems Symposium (RTSS'03), Cancun, Mexico, Dec 3-5 2003. | |
Cheung, N.; Parameswaran, S.; Henkel, J. INSIDE:L INstruction selection/identification & design exploration for extensible processors in Proceedings of the International Conference on Computer Aided Design (ICCAD'03), DOI, PDF, Nov. 9-13 2003. | |
Kalla, P.; Hu, X.S.; Henkel, J. LRU-SEQ: a novel replacement policy for transition energy reduction in instruction caches in Proceedings of the International Conference on Computer Aided Design (ICCAD'03), DOI, PDF, Nov. 9-13 2003. | |
Logothetis, G.; Schneider, K.; Metzler, C. Exact Low-Level Runtime Analysis of Synchronous Programs for Formal Verification of Real-Time Systems in Forum on Specification and Design Language (FDL'03), Frankfurt, Germany, Sep. 23-26 2003. | |
Logothetis, G.; Schneider, K.; Metzler, C. Runtime Analysis of Synchronous Programs for Low-Level Real-Time Verification in 16th Symposium on Integrated Circuits and System Design (SBCCI'03), Brazil, Sep. 8-11 2003. | |
Ziller, R.; Schneider, K. Reducing Complexity of Supervisor Synthesis in Proceedings of the 2nd IFAC Conference on Control Systems Design 2003, Bratislava, Slovakia, Sep 2003. | |
Sabelfeld, V.; Kapp, K. Numeric Types in Formal Synthesis in Perspectives of System Informatics, 5th International Andrei Ershov Memorial Conference 2003, LNCS 2890, Springer, Novosibirsk, Russia, Jul 9-12 2003. | |
Syrjakow, E.; Syrjakow, M. XML for Data Representation in Modeling and Simulation Environments in Proceedings of the International Conference on Modelling, Simulation, and Optimization (MSO'03), Banff, Alberta, Canada, Jul 2-4 2003. | |
Ziller, R.; Schneider, K. A Generalised Approach to Supervisor Synthesis in First ACM & IEEE International Conference on Formal Methods and Models for Codesign 2003, Mont Saint Michel, France, Jun 24-26 2003. | |
Lekatsas, H.; Henkel, J.; Chakradhar, S.; Jakkula, V.; Sankaraclass, M Coco: a hardware/software platform for rapid prototyping of code compression technologies in Proceedings of the 40th Design Automation Conference (DAC'03), Anaheim, California, USA, DOI, PDF, Jun 2-6 2003. | |
Cheung, N.; Henkel, J.; Parameswaran, S. Rapid configuration and instruction selection for an ASIP: a case study in Proceedings of the Design, Automation and Test in Europe Conference and Exposition (DATE'03), Munich, Germany, DOI, PDF, Mar. 3-7 2003. | |
Logothetis, G.; Schneider, K. Exact High Level WCET Analysis of Synchronous Programs by Symbolic State Space Exploration in Proceedings of the Design, Automation and Test in Europe Conference and Exposition (DATE'03), Munich, Germany, Mar. 3-7 2003. | |
Lv, T.; Henkel, J.; Lekatsas, H.; Wolf, W. Enhancing Signal Integrity through a Low-Overhead Encoding Scheme on Address Buses in Proceedings of the Design, Automation and Test in Europe Conference and Exposition (DATE'03), Munich, Germany, DOI, PDF, Mar. 3-7 2003. | |
Kalla, P.; Henkel, J.; Hu, X.S. SEA: fast power estimation for micro-architectures in Proceedings of the Design Automation Conference (ASP-DAC'03), Asia and South Pacific, DOI, PDF, Jan 21-24 2003. | |
Parameswaran, S.; Henkel, J.; Lekatsas, H Multi-parametric improvements for embedded systems using code-placement and address bus coding in Proceedings of the Design Automation Conference (ASP-DAC'03), Asia and South Pacific, DOI, Jan 21-24 2003. | |
Chandra, R.; Henkel, J.; Panda, P.R.; Parameswaran, S.; Ramachandran, L. Specification and design of multi-million gate SOCs in Proceedings of the 16th International Conference on VLSI Design 2003, DOI, PDF, Jan 04-08 2003. |
Books / Book Chapter | |
---|---|
Syrjakow, E. Prozesssimulation und -optimierung in der Planung und Durchführung in Informationslogistik und Prozessmanagement, H. Grabowski, C. Klimesch (Hrsg.), Logos Verlag Berlin, ISBN 3-8325-0182-7, May 2003. | |
Ashar, P.; Chakradhar, S.; Gupta, A.; Henkel, J.; Raghunathan, A.; Wakabayashi, K. NEC and ICCAD - EDA Partners in Success in The Best of ICCAD: 20 Years of Excellence in Computer-Aided Design, A. Kuehlmann (ed.), Kluwer, Feb 2003. |
Workshop | |
---|---|
Kapp, K.; Sabelfeld, V. Dead Code Elimination in Formal Synthesis in 6. GI/ITG/GMM-Workshop: Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, Bremen, Germany, Feb 24-26 2003. | |
Sabelfeld, V.; Kapp, K. Arithmetics in Formal Synthesis in 6. GI/ITG/GMM-Workshop: Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, Bremen, Germany, Feb 24-26 2003. | |
Ziller, R.; Schneider, K. A µ-Calculus Approach to Supervisor Synthesis in 6. GI/ITG/GMM-Workshop: Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, Bremen, Germany, Feb 24-26 2003. |
Other | |
---|---|
Syrjakow, M. Web- und Komponenten Technologien in der Modellierung und Simulation Habilitationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Rechnerentwurf und Fehlertoleranz, 2003. | |
Logothetis, G. Specification, Modelling, Verification and Runtime Analysis of Real Time Systems Dissertationsschrift der Fakultät für Informatik der Universität Karlsruhe, 2003. | |
Stoecklein, S. Entwicklung eines BTRC-RC5 Umsetzers auf Mikrocontrollerbasis Diplomarbeit an der Universität Karlsruhe, Fakultät für Informatik, Institut für Rechnerentwurf und Fehlertoleranz, 2003. | |
Weiss, W. Lernen in pulscodierten Neuronalen Netzen Diplomarbeit an der Universität Karlsruhe, Fakultät für Informatik, Institut für Rechnerentwurf und Fehlertoleranz, 2003. | |
Metzler, C. Laufzeitanalyse Synchroner Programme Diplomarbeit an der Universität Karlsruhe, Fakultät für Informatik, Institut für Rechnerentwurf und Fehlertoleranz, 2003. |
Check also: CES PhD Thesis