Heba Khdr

Dr.-Ing. Heba Khdr

  • Haid-und-Neu-Str. 7
    Bldg. 07.21
    76131 Karlsruhe

Short Bio

Heba Khdr received her Ph.D. (Dr.-Ing.) in Computer Science from Karlsruhe Institute of Technology (KIT) in July 2018 under the supervision of Prof. Jörg Henkel.
Mrs. Khdr received her B. Sc in Computer Science from Aleppo University in Syria, with excellent grade and the first rank.
She is currently a research group leader at the Chair for Embedded Systems (CES) at KIT.
Her main research interests are resource management techniques that consider power, temperature and aging issues in embedded processors.

 

Awards

HiPEAC Paper Award (European Network of Excellence on High Performance and Embedded Architecture and Compilation) for "Smart Detection of Obfuscated Thermal Covert Channel Attacks in Many-core Processors",
in the 60th ACM/EDAC/IEEE Design Automation Conference (DAC), San Francisco, CA, USA, July 2023.
HiPEAC Paper Award (European Network of Excellence on High Performance and Embedded Architecture and Compilation) for "Machine Learning-based Thermally-Safe Cache Contention Mitigation in Clustered Manycores ",
in the 60th ACM/EDAC/IEEE Design Automation Conference (DAC), San Francisco, CA, USA, July 2023.
HiPEAC Paper Award (European Network of Excellence on High Performance and Embedded Architecture and Compilation) for "Aging-Constrained Performance Optimization for Multi Cores ",
in the 55th ACM/EDAC/IEEE Design Automation Conference (DAC), San Francisco, CA, USA, June 2018.
HiPEAC Paper Award (European Network of Excellence on High Performance and Embedded Architecture and Compilation) for "QoS-Aware Stochastic Power Management for Many-Cores ",
in the 55th ACM/EDAC/IEEE Design Automation Conference (DAC), San Francisco, CA, USA, June 2018.
HiPEAC Paper Award (European Network of Excellence on High Performance and Embedded Architecture and Compilation) for "New Trends in Dark Silicon",
in the 52nd ACM/EDAC/IEEE Design Automation Conference (DAC), San Francisco, CA, USA, June 2015.
HiPEAC Paper Award (European Network of Excellence on High Performance and Embedded Architecture and Compilation) for "Thermal Constrained Resource Management for Mixed ILP-TLP Workloads in Dark Silicon Chips",
in the 52nd ACM/EDAC/IEEE Design Automation Conference (DAC), San Francisco, CA, USA, June 2015.
Best Paper Award for "TSP: Thermal Safe Power - Efficient power budgeting for Many-Core Systems in Dark Silicon",
in IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), New Delhi, India, October 2014.
Research Student Award, 2012, KIT-Karlsruhe Institute of Technology
Top Student Award, 2005, University of Aleppo, Syria

 

Research Interests

  • Resource Management for Multi-Core/Many-Core Systems
  • Machine Learning for Embedded Systems
  • Thermal Management for  Multi-Core/Many-Core Systems
  • Reliability-Aware Scheduling for  Embedded Systems

 

Teaching

  • Lecture: Design and architectures of embedded systems (ES2)
  • Seminar: Performance Optimization for Multicore Chips
  • Seminar: Machine Learning for resource management techniques
  • Master Thesis: Machine Learning-based Resource Management for Many-Core Processors

 

Publications

Journals
Martin Rapp, Heba Khdr, Nikita Krohmer, Jörg Henkel
NPU-Accelerated Imitation Learningfor Thermal Optimizationof QoS-Constrained Heterogeneous Multi-Cores
in ACM Transactions on Design Automation of Electronic Systems, DOI, PDF, Nov 2023.
Mohsen Ansari, Sepideh Safari, Heba Khdr, Pourya Gohari-Nazari, Jörg Henkel, Alireza Ejlali, Shaahin Hessabi
Power-Aware Checkpointing for Multicore Embedded Systems
in IEEE Transactions on Parallel and Distributed Systems (Volume 33, Issue 12), DOI, PDF, Dec 2022.
Mohsen Ansari, Sepideh Safari, Sina Yari-Karin, Pourya Gohari-Nazari, Heba Khdr, Muhammad Shafique, Jörg Henkel, Alireza Ejlali
Thermal-Aware Standby-Sparing Technique on Heterogeneous Real-Time Embedded Systems
in IEEE Transactions on Emerging Topics in Computing (Volume 10, Issue 4), DOI, PDF, Oct - Dec 2022.
Marcel Mettler, Martin Rapp, Heba Khdr, Daniel Mueller-Gritschneder, Jörg Henkel
An FPGA-based Approach to Evaluate Thermal and Resource Management Strategies of Many-Core Processors
in ACM Transactions on Architecture and Code Optimization (Volume 19, Issue 3), DOI, PDF, Sep 2022.
Sepideh Safari, Heba Khdr, Pourya Gohari-Nazari, Mohsen Ansari, Shaahin Hessabi, Jörg Henkel
TherMa-MiCs: Thermal-Aware Scheduling for Fault-Tolerant Mixed-Criticality Systems
in IEEE Transactions on Parallel and Distributed Systems (Volume 33, Issue 7), DOI, PDF, Jul 2022.
Sepideh Safari, Mohsen Ansari, Heba Khdr, Pourya Gohari-Nazari, Sina Yari-Karin, Amir Yeganeh-Khaksar, Shaahin Hessabi, Alireza Ejlali, Jörg Henkel
A Survey of Fault-Tolerance Techniques for Embedded Systems From the Perspective of Power, Energy, and Thermal Issues
in IEEE Access (Volume 10), DOI, PDF, Jan 2022.
Behnaz Pourmohseni, Michael Glaß, Jörg Henkel, Heba Khdr, Martin Rapp, Valentina Richthammer, Tobias Schwarzer, Fedor Smirnov, Jan Spieck, Jürgen Teich, Andreas Weichslgartner, Stefan Wildermann
Hybrid Application Mapping for Composable Many-Core Systems: Overview and Future Perspective
in Journal of Low Power Electronics and Applications (Volume 10, Issue 4), DOI, PDF, Nov 2020.
Heba Khdr, Muhammad Shafique, Santiago Pagani, Andreas Herkersdorf, Jörg Henkel
Combinatorial Auctions for Temperature-Constrained Resource Management in Manycores
in IEEE Transactions on Parallel and Distributed Systems (TPDS) (Volume 31, Issue 7), DOI, PDF, Jul 2020.
Heba Khdr, Hussam Amrouch and Jörg Henkel
Dynamic Guardband Selection: Thermal-Aware Optimization for Unreliable Multi-Core Systems
in IEEE Transactions on Computers (TC) (Volume 68, Issue 1), DOI, PDF, Jan 2019.
Heba Khdr, Hussam Amrouch and Jörg Henkel
Aging-Aware Boosting
in IEEE Transactions on Computers (TC) (Volume 67, Issue 9), DOI, PDF, Sep 2018.
Heba Khdr, Santiago Pagani, Éricles Sousa, Vahid Lari, Anuj Pathania, Frank Hannig, Muhammad Shafique, Jürgen Teich, Jörg Henkel
Power density-aware resource management for heterogeneous tiled multicores
in IEEE Transactions on Computers (TC) (Volume 66, Issue 3), DOI, PDF, Mar 2017.
Santiago Pagani, Heba Khdr, Jian-Jia Chen, Muhammad Shafique, Minming Li, Jörg Henkel
Thermal safe power (TSP): Efficient power budgeting for heterogeneous manycore systems in dark silicon
in IEEE Transactions on Computers (TC), (Volume 66, Issue 1), DOI, PDF, Jan 2017.
Conferences
Heba Khdr, Mustafa Enes Batur, Kanran Zhou, Mohammed Bakr Sikal and Jörg Henkel
Multi-Agent Reinforcement Learning for Thermally-Restricted Performance Optimization in Manycores
in Design, Automation and Test in Europe Conference (DATE'24), Mar 2024.
Jeferson Gonzalez-Gomez, Mohammed Bakr Sikal, Heba Khdr, Lars Bauer, Jörg Henkel
Smart Detection of Obfuscated Thermal Covert Channel Attacks in Many-core Processors
in 60th Design Automation Conference (DAC), San Francisco, DOI, PDF, Jul 9-13 2023.
Mohammed Bakr Sikal, Heba Khdr, Martin Rapp, Jörg Henkel
Machine Learning-based Thermally-Safe Cache Contention Mitigation in Clustered Manycores
in 60th Design Automation Conference (DAC), San Francisco, DOI, PDF, Jul 9-13 2023.
Mohsen Ansari, Sepideh Safari, Amir Yeganeh-Khaksar, Roozbeh Syiadatzadeh, Pourya Gohari-Nazari, Heba Khdr, Muhammad Shafique, Jörg Henkel, Alireza Ejlali
ATLAS: Aging-Aware Task Replication for Multicore Safety-Critical Systems
in 29th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2023), DOI, PDF, May 9-12 2023.
Marcel Mettler, Martin Rapp, Heba Khdr, Daniel Müller-Gritschneder, Jörg Henkel, Ulf Schlichtmann
Extended Abstract: Monitoring-based Thermal Management for Mixed-Criticality Systems
in 26th Design, Automation and Test in Europe Conference (DATE'23), Antwerp, Belgium, Apr 17-19 2023.
Martin Rapp, Nikita Krohmer, Heba Khdr, Jörg Henkel
NPU-Accelerated Imitation Learning for Thermal- and QoS-Aware Optimization of Heterogeneous Multi-Cores (IP)
in IEEE/ACM 25th Design, Automation and Test in Europe Conference (DATE'22), Antwerp, Belgium, DOI, PDF, Mar 14-23 2022.
Mohammed Bakr Sikal, Heba Khdr, Martin Rapp, Jörg Henkel
Thermal- and Cache-Aware Resource Management based on ML-Driven Cache Contention Prediction
in IEEE/ACM 25th Design, Automation and Test in Europe Conference (DATE'22), Antwerp, Belgium, DOI, PDF, Mar 14-23 2022.
Martin Rapp, Mohammed Bakr Sikal, Heba Khdr, Jörg Henkel
SmartBoost: Lightweight ML-Driven Boosting for Thermally-Constrained Many-Core Processors
in 58th Design Automation Conference (DAC), San Francisco, DOI, PDF, Dec 5-9 2021.
Mark Sagi, Martin Rapp, Heba Khdr, Yizhe Zhang, Nael Fasfous, Nguyen Anh Vu Doan, Thomas Wild, Jörg Henkel and Andreas Herkersdorf
Long Short-Term Memory Neural Network-based Power Forecasting of Multi-Core Processors
in IEEE/ACM 24th Design, Automation and Test in Europe Conference (DATE'21), Virtual Conference, DOI, PDF, Feb 1-5 2021.
Muhammad Sarmad Saeed, Moeez Akmal, Hareem Shafi, Muhammad Usama Sardar, Osman Hasan, Heba Khdr and Jörg Henkel
Comparative Framework for the Analysis of Thermal and Resource Management Algorithms for Multi-Core Architectures
in The 21st International Symposium on Quality Electronic Design (ISQED), Santa Clara, California, USA, DOI, PDF, Mar 26-29 2020.
Behnaz Pourmohseni, Fedor Smirnov, Heba Khdr, Stefan Wildermann, Jürgen Teich, Jörg Henkel
Thermally Composable Hybrid Application Mapping for Real-Time Applications in Heterogeneous Many-Core Systems
in IEEE Real-Time Systems Symposium (RTSS), Hong Kong, DOI, PDF, Dec 3-6 2019.
Jörg Henkel, Heba Khdr, Martin Rapp
Smart Thermal Management for Heterogeneous Multicores (Special Session)
in IEEE/ACM 22nd Design, Automation and Test in Europe Conference (DATE'19), Florence, Italy, DOI, PDF, Mar 25-29 2019.
Heba Khdr, Hussam Amrouch and Jörg Henkel
Aging-Constrained Performance Optimization for Multi Cores
in ACM/EDAC/IEEE 55th Design Automation Conference (DAC), San Francisco, CA, USA, DOI, PDF, Jun 24-28 2018.
Anuj Pathania, Heba Khdr, Muhammad Shafique, Tulika Mitra and Jörg Henkel
QoS-Aware Stochastic Power Management for Many-Cores
in ACM/EDAC/IEEE 55th Design Automation Conference (DAC), San Francisco, CA, USA, DOI, PDF, Jun 24-28 2018.
Anuj Pathania, Heba Khdr, Muhammad Shafique, Tulika Mitra, Jörg Henkel
Scalable Probabilistic Power Budgeting for Many-Cores
in IEEE/ACM 20th Design, Automation and Test in Europe Conference (DATE'17), Lausanne, Switzerland, DOI, PDF, Mar 27-31 2017.
Jörg Henkel, Santiago Pagani, Heba Khdr, Florian Kriebel, Semeen Rehman, Muhammad Shafique
Towards Performance and Reliability-Efficient Computing in the Dark Silicon Era
in IEEE/ACM 19th Design, Automation and Test in Europe Conference (DATE'16), Dresden, Germany, DOI, PDF, Mar 14-18 2016.
Santiago Pagani, Muhammad Shafique, Heba Khdr, Jian-Jia Chen, Jörg Henkel
seBoost: Selective Boosting for Heterogeneous Manycores
in International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Amsterdam, The Netherlands, DOI, PDF, Oct 4-9 2015.
Jörg Henkel, Haseeb Bukhari, Siddharth Garg, Muhammad Usman Karim Khan, Heba Khdr, Florian Kriebel, Umit Ogras, Sri Parameswaran, Muhammad Shafique
Dark Silicon - From Computation to Communication (invited special session paper)
in 9th International Symposium on Networks-on-Chip (NOCS'15), Vancouver, Canada, DOI, PDF, Sep 28-30 2015.
Jörg Henkel, Heba Khdr, Santiago Pagani, Muhammad Shafique
New Trends in Dark Silicon (special session)
in ACM/EDAC/IEEE 52nd Design Automation Conference (DAC), San Francisco, CA, USA,, DOI, PDF, Jun 7-11 2015.
Heba Khdr, Santiago Pagani, Muhammad Shafique, Jörg Henkel
Thermal Constrained Resource Management for Mixed ILP-TLP Workloads in Dark Silicon Chips
in ACM/EDAC/IEEE 52nd Design Automation Conference (DAC), San Francisco, CA, USA,, DOI, PDF, Jun 7-11 2015.
Waqaas Munawar, Heba Khdr, Santiago Pagani, Muhammad Shafique, Jian-Jia Chen and Jörg Henkel
Peak Power Management for Scheduling Real-time Tasks on Heterogeneous Many-Core Systems
in 20th IEEE International Conference on Parallel and Distributed Systems (ICPADS), Hsinchu, Taiwan, DOI, PDF, Dec 16-19 2014.
Santiago Pagani, Heba Khdr, Waqaas Munawar, Jian-Jia Chen, Muhammad Shafique, Minming Li, Jörg Henkel
TSP: Thermal Safe Power - Efficient power budgeting for Many-Core Systems in Dark Silicon
in: International Conference on Hardware - Software Codesign and System Synthesis (CODES+ISSS), New Delhi, India, DOI, PDF, Oct 12-17 2014.
Best Paper Award
Heba Khdr, Thomas Ebi, Muhammad Shafique, Hussam Amrouch, Jörg Henkel
mDTM: Multi-Objective Dynamic Thermal Management for On-Chip Systems
in IEEE/ACM 17th Design Automation and Test in Europe Conference (DATE´14), Dresden, Germany, DOI, PDF, Mar 24-28 2014.
Jörg Henkel, Thomas Ebi, Hussam Amrouch, Heba Khdr
Thermal Management for Dependable on-chip Systems
in 18th Asia and South Pacific Design Automation Conference (ASP-DAC’13), Yokohama, Japan, DOI, PDF, Jan 22-25 2013.
Books / Book Chapter
Nidhi Anantharajaiah, Tamim Asfour, Michael Bader, Lars Bauer, Jürgen Becker, Simon Bischof, Marcel Brand, Hans-Joachim Bungartz, Christian Eichler, Khalil Esper, Joachim Falk, Nael Fasfous, Felix Freiling, Andreas Fried, Michael Gerndt, Michael Glaß, Jeferson Gonzalez, Frank Hannig, Christian Heidorn, Jörg Henkel, Andreas Herkersdorf, Benedict Herzog, Jophin John, Timo Hönig, Felix Hundhausen, Heba Khdr, Tobias Langer, Oliver Lenke, Fabian Lesniak, Alexander Lindermayr, Alexandra Listl, Sebastian Maier, Nicole Megow, Marcel Mettler, Daniel Müller-Gritschneder, Hassan Nassar, Fabian Paus, Alexander Pöppl, Behnaz Pourmohseni, Jonas Rabenstein, Phillip Raffeck, Martin Rapp, Santiago Narváez Rivas, Mark Sagi, Franziska Schirrmacher, Ulf Schlichtmann, Florian Schmaus, Wolfgang Schröder-Preikschat, Tobias Schwarzer, Mohammed Bakr Sikal, Bertrand Simon, Gregor Snelting, Jan Spieck, Akshay Srivatsa, Walter Stechele, Jürgen Teich, Furkan Turan, Isaías A. Comprés Ureña, Ingrid Verbauwhede, Dominik Walter, Thomas Wild, Stefan Wildermann, Mario Wille, Michael Witterauf, Li Zhang
Invasive Computing
in FAU University Press (Editors: Jürgen Teich, Jörg Henkel, Andreas Herkersdorf), DOI, PDF, Aug 2022.
Hussam Amrouch, Heba Khdr and Jörg Henkel
Aging Effects: From Physics to CAD
Chapter in Harnessing Performance Variability in Embedded and High-performance Many/Multi-core Platforms, Springer International Publishing AG, DOI, PDF, 2019.
Heba Khdr, Santiago Pagani, Muhammad Shafique, Jörg Henkel
Dark Silicon Aware Resource Management for Many-Core Systems
Chapter in book Advances in Computers: Dark Silicon and Future of On-chip Systems. Elsevier, 2018.
Santiago Pagani, Heba Khdr, Jian-Jia Chen, Muhammad Shafique, Minming Li, Jörg Henkel
Thermal safe power: Efficient thermal-aware power budgeting for manycore systems in dark silicon
Chapter in Book The Dark Side of Silicon (Editors: Amir M. Rahmani, Pasi Liljeberg, Ahmed Hemani, Axel Jantsch, Hannu Tenhunen), DOI, PDF, 2017.
Workshop
Marcel Mettler, Martin Rapp, Heba Khdr, Daniel Mueller-Gritschneder, Jörg Henkel, Ulf Schlichtmann
MonTM: Monitoring-Based Thermal Management for Mixed-Criticality Systems
in 14th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 12th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms (PARMA-DITAM 2023), DOI, PDF, 2023.
Other
Santiago Pagani, Lars Bauer, Qingqing Chen, Elisabeth Glocker, Frank Hannig, Andreas Herkersdorf, Heba Khdr, Anuj Pathania, Ulf Schlichtmann, Doris Schmitt-Landsiedel, Mark Sagi, Ericles Rodrigues Sousa, Philipp Wagner, Volker Wenzel, Thomas Wild, Jörg Henkel
Dark silicon management: an integrated and coordinated cross-layer approach
in it – Information Technology (IT), Band 58, Heft 6, DOI, PDF, Dec 2016.
PhD Thesis
Khdr, Heba
Resource Management for Multicores to Optimize Performance under Temperature and Aging Constraints
Dissertationsschrift der Universität Karlsruhe, Fakultät für Informatik, Institut für Technische Informatik, DOI, PDF, 2018.